2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  單片機(jī)課程設(shè)計(jì)報(bào)告</b></p><p>  簡(jiǎn) 易 頻 率 計(jì) 設(shè) 計(jì)</p><p><b>  學(xué) 院: </b></p><p><b>  班 級(jí): </b></p><p><b>  姓 名:</b

2、></p><p><b>  學(xué) 號(hào): </b></p><p><b>  指導(dǎo)老師: </b></p><p>  完成日期:2012-03-25</p><p><b>  目錄</b></p><p><b>  摘 要

3、- 2 -</b></p><p>  第一章 頻率計(jì)設(shè)計(jì)概述- 3 -</p><p>  第二章 AT89C51單片機(jī)及其引腳說明- 3 -</p><p>  第三章 分頻電路- 5 -</p><p>  第四章 顯示電路- 6 -</p><p>  第五章 系統(tǒng)整體原理圖- 7 -&l

4、t;/p><p>  第六章 系統(tǒng)軟件設(shè)計(jì)- 8 -</p><p>  1.測(cè)頻軟件實(shí)現(xiàn)原理- 8 -</p><p>  2.軟件流程圖- 9 -</p><p>  3.程序設(shè)計(jì)- 9 -</p><p>  第七章 仿真測(cè)試結(jié)果- 11 -</p><p> ?。?)復(fù)位操作-

5、11 -</p><p>  (2)頻率為9HZ- 12 -</p><p> ?。?)頻率為99HZ- 12 -</p><p> ?。?)頻率為999HZ- 13 -</p><p> ?。?)頻率為9999HZ- 13 -</p><p>  第八章 單片機(jī)課程設(shè)計(jì)原材料清單- 14 -</p&g

6、t;<p>  結(jié)束語- 14 -</p><p>  1.結(jié)論- 14 -</p><p>  2.致謝- 15 -</p><p>  參考文獻(xiàn)- 15 -</p><p><b>  摘要</b></p><p>  隨著電子信息產(chǎn)業(yè)的不斷發(fā)展,信號(hào)頻率的測(cè)量在科技研究

7、和實(shí)際應(yīng)用中的作用日益重要。傳統(tǒng)的頻率計(jì)通常是用很多的邏輯電路和時(shí)序電路來實(shí)現(xiàn)的,這種電路一般運(yùn)行較慢,而且測(cè)量頻率的范圍較小??紤]到上述問題,本文設(shè)計(jì)一基于單片機(jī)設(shè)計(jì)頻率計(jì)。本文從頻率計(jì)的原理出發(fā),介紹了基于單片機(jī)的頻率計(jì)的設(shè)計(jì)方案,選擇了實(shí)現(xiàn)系統(tǒng)的各種電路元器件,并對(duì)硬件電路進(jìn)行了仿真,并焊制出了實(shí)際的電路板,測(cè)試表明與理論大體相符。</p><p>  關(guān)鍵字:?jiǎn)纹瑱C(jī);頻率計(jì);測(cè)量;AT89C51</

8、p><p><b>  頻率計(jì)設(shè)計(jì)概述</b></p><p>  本頻率計(jì)的設(shè)計(jì)以AT89C51單片機(jī)為核心,利用他內(nèi)部的定時(shí)/計(jì)數(shù)器完成待測(cè)信號(hào)頻率的測(cè)量。</p><p>  單片機(jī)AT89C51內(nèi)部具有2個(gè)16位定時(shí)/計(jì)數(shù)器,定時(shí)/計(jì)數(shù)器的工作可以由編程來實(shí)現(xiàn)定時(shí)、計(jì)數(shù)和產(chǎn)生計(jì)數(shù)溢出時(shí)中斷要求的功能。</p><p>

9、;  設(shè)計(jì)將定時(shí)/計(jì)數(shù)器0設(shè)置工作在定時(shí)方式,定時(shí)/計(jì)數(shù)器1設(shè)置工作在計(jì)數(shù)方式。</p><p>  在定時(shí)器工作方式下,在被測(cè)時(shí)間間隔內(nèi),每來一個(gè)機(jī)器周期,計(jì)數(shù)器自動(dòng)加1(使用12 MHz時(shí)鐘時(shí),每1μs加1),這樣以機(jī)器周期為基準(zhǔn)可以用來測(cè)量時(shí)間間隔。在計(jì)數(shù)器工作方式下,加至外部引腳的待測(cè)信號(hào)發(fā)生從1到0的跳變時(shí)計(jì)數(shù)器加1,這樣在計(jì)數(shù)閘門的控制下可以用來測(cè)量待測(cè)信號(hào)的頻率。外部輸入在每個(gè)機(jī)器周期被采樣一次,這

10、樣檢測(cè)一次從1到0的跳變至少需要2個(gè)機(jī)器周期(24個(gè)振蕩周期),所以最大計(jì)數(shù)速率為時(shí)鐘頻率的1/24(使用12 MHz時(shí)鐘時(shí),最大計(jì)數(shù)速率為500 kHz)。</p><p>  AT89C51單片機(jī)及其引腳說明:</p><p>  89C51是一種高性能低功耗的采用CMOS工藝制造的8位微控制器,它提供下列標(biāo)準(zhǔn)特征:4K字節(jié)的程序存儲(chǔ)器,128字節(jié)的RAM,32條I/O線,2個(gè)16位定

11、時(shí)器/計(jì)數(shù)器, 一個(gè)5中斷源兩個(gè)優(yōu)先級(jí)的中斷結(jié)構(gòu),一個(gè)雙工的串行口, 片上震蕩器和時(shí)鐘電路。</p><p><b>  引腳說明:</b></p><p><b>  ·VCC:電源電壓</b></p><p><b>  ·GND:地</b></p><p

12、>  ·P0口:P0口是一組8位漏極開路型雙向I/O口,作為輸出口用時(shí),每個(gè)引腳能驅(qū)動(dòng)8個(gè)TTL邏輯門電路。當(dāng)對(duì)0端口寫入1時(shí),可以作為高阻抗輸入端使用。</p><p>  當(dāng)P0口訪問外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),它還可設(shè)定成地址數(shù)據(jù)總線復(fù)用的形式。在這種模式下,P0口具有內(nèi)部上拉電阻。</p><p>  在EPROM編程時(shí),P0口接收指令字節(jié),同時(shí)輸出指令字節(jié)在程序

13、校驗(yàn)時(shí)。程序校驗(yàn)時(shí)需要外接上拉電阻。</p><p>  ·P1口:P1口是一帶有內(nèi)部上拉電阻的8位雙向I/O口。P1口的輸出緩沖能接受或輸出4個(gè)TTL邏輯門電路。當(dāng)對(duì)P1口寫1時(shí),它們被內(nèi)部的上拉電阻拉升為高電平,此時(shí)可以作為輸入端使用。當(dāng)作為輸入端使用時(shí),P1口因?yàn)閮?nèi)部存在上拉電阻,所以當(dāng)外部被拉低時(shí)會(huì)輸出一個(gè)低電流(IIL)。</p><p>  ·P2口:P2是

14、一帶有內(nèi)部上拉電阻的8位雙向的I/O端口。P2口的輸出緩沖能驅(qū)動(dòng)4個(gè)TTL邏輯門電路。當(dāng)向P2口寫1時(shí),通過內(nèi)部上拉電阻把端口拉到高電平,此時(shí)可以用作輸入口。作為輸入口,因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出電流(IIL)。</p><p>  P2口在訪問外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ)器(例如MOVX @ DPTR)時(shí),P2口送出高8位地址數(shù)據(jù)。在這種情況下,P2口使用強(qiáng)大的內(nèi)部上拉電阻

15、功能當(dāng)輸出1時(shí)。當(dāng)利用8位地址線訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)(例MOVX @R1),P2口輸出特殊功能寄存器的內(nèi)容。</p><p>  當(dāng)EPROM編程或校驗(yàn)時(shí),P2口同時(shí)接收高8位地址和一些控制信號(hào)。</p><p>  ·P3口:P3是一帶有內(nèi)部上拉電阻的8位雙向的I/O端口。P3口的輸出緩沖能驅(qū)動(dòng)4個(gè)TTL邏輯門電路。當(dāng)向P3口寫1時(shí),通過內(nèi)部上拉電阻把端口拉到高電平,此時(shí)可以用

16、作輸入口。作為輸入口,因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出電流(IIL)。</p><p>  P3口同時(shí)具有AT89C51的多種特殊功能,具體如下表1所示:</p><p>  表1 P3口的第二功能</p><p>  ·RST:復(fù)位輸入。當(dāng)振蕩器工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期的高電平將使單片機(jī)復(fù)位。</p><

17、;p>  ·ALE/:當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許是一輸出脈沖,用以鎖存地址的低8位字節(jié)。當(dāng)在Flash編程時(shí)還可以作為編程脈沖輸出()。</p><p>  一般情況下,ALE是以晶振頻率的1/6輸出,可以用作外部時(shí)鐘或定時(shí)目的。但也要注意,每當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過一個(gè)ALE脈沖。</p><p>  ·:程序存儲(chǔ)允許時(shí)外部程序存儲(chǔ)器的讀選通信號(hào)。當(dāng)A

18、T89C52執(zhí)行外部程序存儲(chǔ)器的指令時(shí),每個(gè)機(jī)器周期兩次有效,除了當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過兩個(gè)信號(hào)。</p><p>  ·/VPP:外部訪問允許。為了使單片機(jī)能夠有效的傳送外部數(shù)據(jù)存儲(chǔ)器從0000H到FFFH單元的指令,必須同GND相連接。需要主要的是,如果加密位1被編程,復(fù)位時(shí)EA端會(huì)自動(dòng)內(nèi)部鎖存。</p><p>  當(dāng)執(zhí)行內(nèi)部編程指令時(shí),應(yīng)該接到VCC端。</

19、p><p>  ·XTAL1:振蕩器反相放大器以及內(nèi)部時(shí)鐘電路的輸入端。</p><p>  ·XTAL2:振蕩器反相放大器的輸出端。</p><p>  在本次設(shè)計(jì)中,采用89C51作為CPU處理器,充分利用其硬件資源,結(jié)合D觸發(fā)器CD4013,分頻器CD4060,模擬轉(zhuǎn)換開關(guān)CD4051,計(jì)數(shù)器74LS90等數(shù)字處理芯片,主要控制兩大硬件模塊,量

20、程切換以及顯示模塊。</p><p><b>  第三章 分頻電路</b></p><p>  本次設(shè)計(jì)采用的是脈沖定時(shí)測(cè)頻法,由于考慮到單片機(jī)的定時(shí)計(jì)數(shù)器得計(jì)數(shù)</p><p>  能力有限,無法對(duì)過高頻進(jìn)行測(cè)量,所以我們對(duì)待測(cè)信號(hào)進(jìn)行了分頻,這樣能提高測(cè)量頻率的范圍,還能相應(yīng)的提高頻率測(cè)量的精度。所以我們需要把待測(cè)信號(hào)進(jìn)行分頻。其原理圖如3

21、.3</p><p>  3.3 4040原理圖 </p><p><b>  第四章 顯示電路</b></p><p>  我們測(cè)量的頻率最終要顯示出來八段LED 數(shù)碼管顯示器由8 個(gè)發(fā)光二極管組成?;? 個(gè)長條形的發(fā)光管排列成“日”字形,另一個(gè)圓點(diǎn)形的發(fā)光管在數(shù)碼管顯示器的右下角作為顯示小數(shù)點(diǎn)用,它能顯示各種數(shù)字及部份英文字母。LED 數(shù)

22、碼管顯示器有兩種形式:一種是8 個(gè)發(fā)光二極管的陽極都連在一起的,稱之為共陽極LED 數(shù)碼管顯示器;另一種是8 個(gè)發(fā)光二極管的陰極都連在一起的,稱之為共陰極LED 數(shù)碼管顯示器。如下圖所示。共陰和共陽結(jié)構(gòu)的LED 數(shù)碼管顯示器各筆劃段名和安排位置是相同的。當(dāng)二極管導(dǎo)通時(shí),對(duì)應(yīng)的筆劃段發(fā)亮,由發(fā)亮的筆劃段組合而顯示的各種字符。8個(gè)筆劃段 h g f e d c b a 對(duì)應(yīng)于一個(gè)字節(jié)(8 位)的D7、D6、D5、。D4、D3、D2、D1、D

23、0,于是用8 位二進(jìn)制碼就能表示欲顯示字符的字形代碼。</p><p>  在單片機(jī)應(yīng)用系統(tǒng)中,數(shù)碼管顯示器顯示常用兩種辦法:靜態(tài)顯示和動(dòng)態(tài)掃</p><p>  描顯示。所謂靜態(tài)顯示,就是每一個(gè)數(shù)碼管顯示器都要占用單獨(dú)的具有鎖存功能</p><p>  的I/O 接口用于筆劃段字形代碼。這樣單片機(jī)只要把要顯示的字形代碼發(fā)送到接口電路,就不用管它了,直到要顯示新的數(shù)

24、據(jù)時(shí),再發(fā)送新的字形碼,因此,使用這種辦法單片機(jī)中CPU 的開銷小,能供給單獨(dú)鎖存的I/O 接口電路很多。在單片機(jī)系統(tǒng)中動(dòng)態(tài)掃描顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一。其接口電路是把所有顯示器的8 個(gè)筆劃段a-h 同名端連在一起,而每一個(gè)顯示器的公共極COM 是各自獨(dú)立地受I/O 線控制。CPU 向字段輸出口送出字形碼時(shí),所有顯示器接收到相同的字形碼,但究竟是那個(gè)顯示器亮,則取決于COM 端,而這一端是由I/O 控制的,所以我

25、們就能自行決定何時(shí)顯示哪一位了。而所謂動(dòng)態(tài)掃描就是指我們采用分時(shí)的辦法,輪流控制各個(gè)顯示器的COM 端,使各個(gè)顯示器輪流點(diǎn)亮。在輪流點(diǎn)亮掃描過程中,每位顯示器的點(diǎn)亮?xí)r間是極為短暫的(約1ms),但由于人的視覺暫留現(xiàn)象及發(fā)光二極管的余輝效應(yīng),盡管實(shí)際上各位顯示器并非同時(shí)點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù),不會(huì)有閃爍感。其原理圖3.4</p><p>  3.4顯示電路原理圖</p&

26、gt;<p>  第五章 系統(tǒng)整體原理圖</p><p>  第六章 系統(tǒng)軟件設(shè)計(jì)</p><p>  1. 測(cè)頻軟件實(shí)現(xiàn)原理</p><p>  測(cè)頻軟件的實(shí)現(xiàn)是基于電路系統(tǒng)來進(jìn)行設(shè)計(jì)的。本次設(shè)計(jì)采用的是脈沖定時(shí)</p><p>  測(cè)頻法,所以在軟件實(shí)現(xiàn)上基本遵照系統(tǒng)的設(shè)計(jì)原理,進(jìn)行測(cè)頻。本次軟件設(shè)計(jì)語言采用C語言,在電腦上

27、編譯通過后即可下載到電路上的實(shí)際電路中,即可實(shí)現(xiàn)頻率的測(cè)量。</p><p><b>  2.軟件流程圖 </b></p><p><b>  While(1)</b></p><p><b>  3. 程序設(shè)計(jì) </b></p><p>  #include<reg51

28、.h></p><p>  bit int_flag;</p><p>  unsigned char volatile T0Count;</p><p>  unsigned char volatile T1Count;</p><p>  unsigned char code table[] ={0x3f,0x06,0x5b,0x4

29、f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};</p><p>  unsigned char code temp[] = {0xfe,0xfd,0xfb,0xf7};</p><p>  unsigned long sum;</p><p>  unsigned char Led[4];</p><p>  void d

30、elay(unsigned int num ){</p><p>  while(--num);</p><p><b>  }</b></p><p>  void init(void){</p><p>  TMOD=0x15;//TMOD=0x51; //T1定時(shí),T0計(jì)數(shù)</p><p>

31、  TH1=(65536-50000)/256;//TH0=(65536-50000)/256; //定時(shí)50ms</p><p>  TL1=(65536-50000)%256;// TL0=(65536-50000)%256;</p><p>  TH0=0x00;//TH1=0x00;</p><p>  TL0=0x00;//TL1=0x00;</p

32、><p><b>  }</b></p><p>  void disp(void){</p><p>  unsigned char i;</p><p>  for(i=0;i<4;i++)</p><p><b>  {</b></p><p>

33、;  P2=temp[i];//片選</p><p>  P0=table[Led[i]];//取數(shù)據(jù)顯示</p><p>  delay(100);//延時(shí)1毫秒</p><p><b>  }</b></p><p><b>  }</b></p><p>  voi

34、d main(void){</p><p><b>  EA=1;</b></p><p><b>  init();</b></p><p><b>  TR0=1;</b></p><p><b>  TR1=1;</b></p><

35、;p><b>  ET1=1;</b></p><p><b>  ET0=1;</b></p><p><b>  while(1){</b></p><p>  if(int_flag==1){</p><p>  int_flag=0;</p><

36、;p>  sum=TL0+TH0*256+T0Count*65536; //計(jì)算脈沖個(gè)數(shù)</p><p>  Led[3]=sum%10000/1000;//顯示千位</p><p>  Led[2]=sum%1000/100;//顯示百位</p><p>  Led[1]=sum%100/10;//顯示十位</p><p>  Le

37、d[0]=sum%10;//顯示個(gè)位</p><p>  T1Count=0x00;</p><p>  T0Count=0;</p><p><b>  TH0=0x00;</b></p><p><b>  TL0=0x00;</b></p><p><b> 

38、 TR0=1;</b></p><p><b>  }</b></p><p><b>  disp();</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  vo

39、id int_t1(void) interrupt 3{</p><p>  TH1=(65535-50000)/256;</p><p>  TL1=(65536-50000)%256;</p><p>  T1Count++;</p><p>  if(T1Count==20){</p><p><b>

40、  TR0=0;</b></p><p>  int_flag=1;</p><p>  T1Count=0x00;</p><p><b>  }</b></p><p><b>  }</b></p><p>  void int_T0(void) inter

41、rupt 1</p><p><b>  {</b></p><p>  T0Count++;</p><p><b>  }</b></p><p>  第七章 仿真測(cè)試結(jié)果</p><p><b>  復(fù)位操作</b></p><

42、p>  頻率為9HZ,頻率捕捉很準(zhǔn)</p><p>  頻率為99HZ,頻率捕捉很準(zhǔn)</p><p>  頻率為999HZ,頻率捕捉較準(zhǔn),產(chǎn)生一定誤差</p><p>  頻率為9999HZ,頻率捕捉較準(zhǔn),產(chǎn)生較大誤差</p><p>  第八章 單片機(jī)課程設(shè)計(jì)原材料清單</p><p><b>  結(jié)

43、束語</b></p><p><b>  1.結(jié)論</b></p><p>  在單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)時(shí),必須先確定該系統(tǒng)的技術(shù)要求,這是系統(tǒng)設(shè)計(jì)的依據(jù)和出發(fā)點(diǎn),整個(gè)設(shè)計(jì)過程都必須圍繞這個(gè)技術(shù)要求來工作。在設(shè)計(jì)時(shí)遵循從整體到局部也即自上而下的原則。把復(fù)雜的問題分解為若干個(gè)比較簡(jiǎn)單的、容易處理的問題,分別單個(gè)的加以解決。將總?cè)蝿?wù)分解成可以獨(dú)立表達(dá)的子任務(wù),這些

44、子任務(wù)再向下分,直到每個(gè)子任務(wù)足夠簡(jiǎn)單,能夠直接而容易的實(shí)現(xiàn)為止。在程序調(diào)試時(shí)應(yīng)按各個(gè)功能模塊分別調(diào)試。</p><p>  在程序設(shè)計(jì)時(shí),正確合理的設(shè)計(jì)是非常重要的,正確的程序設(shè)計(jì)包括程序的結(jié)構(gòu)是否合理,一些循環(huán)結(jié)構(gòu)和循環(huán)指令的使用是否恰當(dāng),能否使用較少的循環(huán)次數(shù)或較快的指令,是否能把某些延遲等待的操作改為中斷申請(qǐng)服務(wù),能否把某些計(jì)算方法和查表技術(shù)適當(dāng)簡(jiǎn)化等。另外程序的設(shè)計(jì)要具有可擴(kuò)展性,程序的結(jié)構(gòu)要標(biāo)準(zhǔn)化,便

45、于閱讀、修改和擴(kuò)充。</p><p>  通過本次課程設(shè)計(jì),我更加地了解和掌握單片機(jī)的基本知識(shí)和基本的編寫程序,也更加深入地了解單片機(jī)這么課程,掌握匯編語言的設(shè)計(jì)和調(diào)試方法。對(duì)于認(rèn)識(shí)到自己在知識(shí)方面存在的不足,明確今后的學(xué)習(xí)方向是非常有益的。在設(shè)計(jì)過程中,得到了我的指導(dǎo)老師的悉心指導(dǎo)與幫助,在此一并表示衷心的感謝。</p><p><b>  2.致謝</b><

46、/p><p>  衷心感謝xx老師的悉心指導(dǎo),是你們的幫助讓我順利的完成了課程設(shè)計(jì)。同時(shí)也感謝給予我?guī)椭耐瑢W(xué)!謝謝你們!</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 李雷等編.《集成電路應(yīng)用實(shí)驗(yàn)》.國防工業(yè)出版社2003</p><p>  [2] 李雷等編.《電子技術(shù)應(yīng)用實(shí)驗(yàn)教程》.電子科技

47、大學(xué)出版社,2006</p><p>  [3] 朱紅等編.《電子技術(shù)綜合實(shí)驗(yàn)》.電子科技大學(xué)出版社,2005</p><p>  [4] 馮熙昌編.《電子電話機(jī)集成電路手冊(cè)》.人民郵電出版社,1996</p><p>  [5] 李華等編.《MCS-51 系列單片機(jī)實(shí)用接口技術(shù)》.北京航空航天大學(xué)出版社,1993</p><p>  [6]

48、 徐惠民,《安德寧等編.單片微型計(jì)算機(jī)原理接口及應(yīng)用》.北京郵電大學(xué)出版社,2000</p><p>  [7] 張毅坤, 陳善久,單片微型計(jì)算機(jī)原理及應(yīng)用.西安電子科技大學(xué)出版社,2002.</p><p>  [8] 張友德,趙志英,徐時(shí)亮.單片微機(jī)原理應(yīng)用與實(shí)驗(yàn).復(fù)旦大學(xué)出版社,2000.</p><p>  [9] 張毅剛,彭善元,董繼承.單片機(jī)原理及應(yīng)用.

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論