2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩6頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  交通信號控制器的VHDL設(shè)計</p><p><b>  指導(dǎo)老師: </b></p><p>  班 級:通信工程</p><p><b>  學(xué) 號:</b></p><p><b>  姓 名: </b></p>&l

2、t;p>  完成日期:2012年4月6日</p><p><b>  一、設(shè)計任務(wù)</b></p><p>  模擬十字路口交通信號燈的工作過程,利用實驗板上的兩組紅、黃、綠LED作為交通信號燈,設(shè)計一個交通信號燈控制器。要求:</p><p>  交通燈從綠變紅時,有4秒黃燈亮的間隔時間;</p><p>  交

3、通燈紅變綠是直接進行的,沒有間隔時間;</p><p>  主干道上的綠燈時間為40秒,支干道的綠燈時間為20秒;</p><p>  在任意時間,顯示每個狀態(tài)到該狀態(tài)結(jié)束所需的時間。</p><p>  表1 交通信號燈的4種狀態(tài)</p><p><b>  二、設(shè)計目的</b></p><p>

4、;  學(xué)習DEA開發(fā)軟件和QuartusII的使用方法,熟悉可編程邏輯器件的使用。通過制作來了解交通燈控制系統(tǒng),交通燈控制系統(tǒng)主要是實現(xiàn)城市十字交叉路口紅綠燈的控制,設(shè)計交通燈控制系統(tǒng)主要是為了實現(xiàn)城市十字交叉路口紅綠燈的控制。通過掌握VHDL程序設(shè)計的實現(xiàn),熟悉可編程邏輯器件的使用。同時加深對交通燈控制系統(tǒng)的了解與應(yīng)用。</p><p><b>  三、設(shè)計方案</b></p>

5、<p>  采用VHDL語言輸入的方式實現(xiàn)交通信號燈控制器</p><p><b>  CLK</b></p><p><b>  時間顯示數(shù)據(jù)輸出</b></p><p><b>  信號燈輸出</b></p><p>  圖3 交通信號燈控制器程序原理框圖&l

6、t;/p><p>  該程序由7個進程組成,進程P1和P2將CLK信號分頻后產(chǎn)生1秒信號,進程P3、P4、P5構(gòu)成兩個帶有預(yù)置數(shù)功能的十進制計數(shù)器,其中P4產(chǎn)生允許十位計數(shù)器計數(shù)的控制信號。進程P6實現(xiàn)狀態(tài)轉(zhuǎn)換和產(chǎn)生狀態(tài)轉(zhuǎn)換的控制信號,進程P7產(chǎn)生次態(tài)信號和信號燈輸出信號,以及每一個狀態(tài)的時間值。</p><p><b>  四、程序清單</b></p>&

7、lt;p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity traffic is </p><p>  port (clk,reset,yj:in std_l

8、ogic;</p><p>  led1h,led1l,led2h,led2l:out std_logic_vector(3downto 0);</p><p>  light:out std_logic_vector(5 downto 0));</p><p><b>  end; </b></p><p>  arc

9、hitecture one of traffic is</p><p>  type fsm_st is (a,b,c,d);</p><p>  signal current_state,next_state:fsm_st;</p><p>  signal l1h,l1l,l2h,l2l: integer range 0 to 9;</p><

10、;p>  signal t1,t2,time:integer range 0 to 70;</p><p><b>  begin</b></p><p>  p1: process(clk,reset,yj)</p><p><b>  begin</b></p><p>  if rese

11、t='1'then time<=0;current_state<=a; </p><p>  elsif yj='0' and (clk='1' and clk'event )then</p><p>  if time<=66 then </p><p>  time<=time+1;

12、</p><p>  else time <=0; </p><p><b>  end if;</b></p><p>  current_state<=next_state;</p><p><b>  end if;</b></p><p>  end pr

13、ocess;</p><p>  p2: process (current_state, time,yj) </p><p><b>  begin</b></p><p>  if yj='1'then light<="100100"; </p><p>&l

14、t;b>  else </b></p><p>  case current_state is</p><p>  when a=>light<="001100";t1<=39-time; </p><p>  if time=39 then next_state<=b;</p><

15、;p>  else next_state<=a;</p><p><b>  end if;</b></p><p>  when b=>light<="010100";t1<=43-time; </p><p>  if time=43 then next_state<=c;</

16、p><p>  else next_state<=b;</p><p><b>  end if;</b></p><p>  when c=>light<="100001";t1<=67-time;</p><p>  if time=63 then next_state<

17、;=d; </p><p>  else next_state<=c;</p><p><b>  end if;</b></p><p>  when d=>light<="100010";t1<=67-time;</p><p>  if time=67 then next

18、_state<=a;</p><p>  else next_state<=d;</p><p><b>  end if;</b></p><p><b>  end case;</b></p><p><b>  end if;</b></p>&

19、lt;p>  end process;</p><p>  p3: process (current_state )</p><p><b>  begin</b></p><p>  if current_state = a then</p><p>  t2 <= t1 + 4;</p>&

20、lt;p>  elsif current_state = b then</p><p><b>  t2 <= t1;</b></p><p>  elsif current_state = c then</p><p>  t2 <= t1 - 4;</p><p>  elsif current_s

21、tate = d then</p><p><b>  t2 <= t1;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  p4: process (t1,t2)</p><p><b&

22、gt;  begin </b></p><p>  l1h<=t1/10;l1l<=t1 rem 10;l2h<=t2/10;l2l<=t2 rem 10;</p><p>  end process;</p><p>  p5: process (l1h,l1l)</p><p><b>  

23、begin</b></p><p>  if l1h=0 then led1h<="0000";</p><p>  elsif l1h=1 then led1h<="0001";</p><p>  elsif l1h=2 then led1h<="0010";</p&

24、gt;<p>  elsif l1h=3 then led1h<="0011";</p><p><b>  else null</b></p><p><b>  end if;</b></p><p>  if l1l=0 then led1l<="0000&qu

25、ot;;</p><p>  elsif l1l=1 then led1l<="0001";</p><p>  elsif l1l=2 then led1l<="0010";</p><p>  elsif l1l=3 then led1l<="0011";</p><

26、;p>  elsif l1l=4 then led1l<="0100";</p><p>  elsif l1l=5 then led1l<="0101";</p><p>  elsif l1l=6 then led1l<="0110";</p><p>  elsif l1l=7

27、 then led1l<="0111";</p><p>  elsif l1l=8 then led1l<="1000";</p><p>  elsif l1l=9 then led1l<="1001";</p><p>  else null;</p><p>

28、;<b>  end if;</b></p><p>  end process;</p><p>  p6: process (l2h,l2l)</p><p><b>  begin</b></p><p>  if l2h=0 then led2h<="0000";&

29、lt;/p><p>  elsif l2h=1 then led2h<="0001";</p><p>  elsif l2h=2 then led2h<="0010";</p><p>  elsif l2h=3 then led2h<="0011";</p><p>

30、;  elsif l2h=4 then led2h<="0100";</p><p>  else null;</p><p><b>  end if</b></p><p>  if l2l=0 then led2l<="0000";</p><p>  elsif

31、 l2l=1 then led2l<="0001";</p><p>  elsif l2l=2 then led2l<="0010";</p><p>  elsif l2l=3 then led2l<="0011";</p><p>  elsif l2l=4 then led2l&l

32、t;="0100";</p><p>  elsif l2l=5 then led2l<="0101";</p><p>  elsif l2l=6 then led2l<="0110";</p><p>  elsif l2l=7 then led2l<="0111"

33、;</p><p>  elsif l2l=8 then led2l<="1000";</p><p>  elsif l2l=9 then led2l<="1001";</p><p>  else null;</p><p><b>  end if;</b><

34、;/p><p>  end process;</p><p><b>  end</b></p><p><b>  五、時序仿真</b></p><p>  顯示模塊軟件時序仿真結(jié)果如下:</p><p>  圖4 顯示模塊軟件仿真結(jié)果</p><p>

35、<b>  六、引腳設(shè)置</b></p><p>  根據(jù)電路模式5進行引腳選擇</p><p><b>  圖5 引腳設(shè)置圖</b></p><p><b>  七、總結(jié)與體會</b></p><p>  本設(shè)計基于VHDL 硬件描述語言,利用FPGA 器件作為主要芯片。在編

36、程過程中充分利用了計數(shù)狀態(tài)所對應(yīng)的信息,實現(xiàn)了模擬十字路口交通燈的運行狀態(tài)控制。仿真分析和實踐證明,本系統(tǒng)設(shè)計是成功的和符合實際的。同時增加了人機交互方面的一些控制信號,則可以實現(xiàn)用戶的手工調(diào)整交通燈的運行。</p><p>  本次課程設(shè)計讓我對VHDL語言的使用又有了新的認識,學(xué)會了很多解決錯誤的方法,讓我對EDA技術(shù)有了進一步的認識,對其產(chǎn)生了更大的興趣。同時此設(shè)計也讓我知道僅僅理論知識是不夠的,需要學(xué)會理

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論