2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  單片機課程設(shè)計</b></p><p>  題 目:電子琴模擬實驗</p><p>  班 級: </p><p>  姓 名: </p><p>  學(xué) 號: </p><p&

2、gt;  指導(dǎo)教師: </p><p>  設(shè)計時間: </p><p><b>  電子琴模擬實驗</b></p><p><b>  引言</b></p><p>  電子琴因其音色優(yōu)美、節(jié)奏多變、價格便宜、攜帶方便和彈奏易于出效果,深受廣大青少年甚至老

3、年人的喜愛,又是我國中小學(xué)音樂課堂教學(xué)和廣大群眾業(yè)余音樂活動的重要工具。在日常的娛樂活動中,不管是卡拉OK、聽音樂、看電影,又或是參與演唱會。你都會發(fā)覺,這些消遣全與音樂有不可分割的關(guān)系,而幾乎所有的音樂里都有琴的參與。再者提高電子琴應(yīng)用能力(如即興伴奏),已經(jīng)成為各級各類電子琴教學(xué)活動的重要內(nèi)容。因此研究電子琴設(shè)計也成為了電子設(shè)計師的重要課題。 作為一種新興的樂器,電子琴的歷史不像鋼琴那樣悠久.它也是以鍵盤形態(tài)出現(xiàn)的,而以往的

4、鍵盤樂器主要是鋼琴、風(fēng)琴、管風(fēng)琴、手風(fēng)琴。電子琴是種鍵盤樂器。它是1934年由美國人勞倫斯.哈夢特制造發(fā)明的,只有50多年歷史。當(dāng)初發(fā)明它的目的是用它來代替巨大的管風(fēng)琴(管風(fēng)琴是樂器中最大的一種,最低的發(fā)音管就有10多米長)。后來日本人在五十年代買下了這項專利技術(shù),開始生產(chǎn)電子琴,并把它用于音樂教育。這樣,電子琴就漸漸地在世界上普及起來。當(dāng)今電子琴已被廣泛應(yīng)用于教學(xué),演奏,是一門實用性很強的樂器.在我國目前普及的電子琴有兩種:一種是帶有

5、腳鍵盤的立式電子琴;另一種是深受大</p><p><b>  設(shè)計內(nèi)容</b></p><p>  利用定時器可以發(fā)出不同頻率的脈沖,不同頻率的脈沖經(jīng)喇叭驅(qū)動電路放大濾波后,會發(fā)出不同的音調(diào)。定時器按設(shè)置的定時參數(shù)產(chǎn)生中斷,這一次中斷發(fā)出脈沖低電平,下一次反轉(zhuǎn)發(fā)出脈沖高電平。由于定時參數(shù)不同,就發(fā)出不同頻率的脈沖。有鍵按下,會發(fā)出連續(xù)脈沖,直到按鍵松開,才停止發(fā)音。

6、使用數(shù)字鍵1~7作為電子琴按鍵,P3.2口發(fā)出音頻脈沖,驅(qū)動喇叭</p><p><b>  設(shè)計方案及原理</b></p><p><b>  3.1目的和意義</b></p><p>  單片微型計算機是大規(guī)模集成電路技術(shù)發(fā)展的產(chǎn)物,屬第四代電子計算機,它具有高性能、高速度、體積小、價格低廉、穩(wěn)定可靠、應(yīng)用廣泛的特點。

7、它的應(yīng)用必定導(dǎo)致傳統(tǒng)的控制技術(shù)從根本上發(fā)生變革。因此,單片機的開發(fā)應(yīng)用已成為高科技和工程領(lǐng)域的一項重大課題。 電子琴是現(xiàn)代電子科技與音樂結(jié)合的產(chǎn)物,是一種新型的鍵盤樂器。它在現(xiàn)代音樂扮演著重要的角色,單片機具有強大的控制功能和靈活的編程實現(xiàn)特性,它已經(jīng)溶入現(xiàn)代人們的生活中,成為不可替代的一部分。本文的主要內(nèi)容是用AT89S51單片機為核心控制元件,設(shè)計一個電子琴。以單片機作為主控核心,與鍵盤、揚聲器等模塊組成核心主控制模塊,在主

8、控模塊上設(shè)有16個按鍵和揚聲器。 本文主要對使用單片機設(shè)計簡易電子琴進行了分析,并介紹了基于單片機電子琴統(tǒng)硬件組成。利用單片機產(chǎn)生不同頻率來獲得我們要求的音階,最終可隨意彈奏想要表達的音樂。并且本文分別從原理圖,主要芯片,各模塊原理及各模塊的程序的調(diào)試來詳細(xì)闡述。本系統(tǒng)是簡易電子琴的設(shè)計,按下鍵盤矩陣中的按鍵會使數(shù)碼管顯示當(dāng)前按鍵,揚聲器播放器對應(yīng)的音符。通過設(shè)計本系統(tǒng)可了解單片機的基本功能,對單片機的了解有一個小的</p

9、><p>  3.2系統(tǒng)的研究內(nèi)容</p><p>  本系統(tǒng)設(shè)計制作一個可演奏的電子琴。綜合應(yīng)用了兩項設(shè)計。</p><p>  (1)鍵盤矩陣識別。即矩陣掃描,顯示當(dāng)前按鍵。</p><p> ?。?)不同頻率音符播放。可以通過按鍵控制16種發(fā)音。</p><p><b>  3.3主要芯片介紹</b&

10、gt;</p><p>  AT89S51是一個低功耗,高性能CMOS 8位單片機,片內(nèi)含4k Bytes ISP(In-system programmable)的可反復(fù)擦寫1000次的Flash只讀程序存儲器,器件采用ATMEL公司的高密度、非易失性存儲技術(shù)制造,兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng)及80C51引腳結(jié)構(gòu),芯片內(nèi)集成了通用8位中央處理器和ISP Flash存儲單元,功能強大的微型計算機的AT89S51可為

11、許多嵌入式控制應(yīng)用系統(tǒng)提供高性價比的解決方案。    AT89S51具有如下特點:40個引腳(引腳圖如圖1-2所示),4k Bytes Flash片內(nèi)程序存儲器,128 bytes的隨機存取數(shù)據(jù)存儲器(RAM),32個外部雙向輸入/輸出(I/O)口,5個中斷優(yōu)先級2層中斷嵌套中斷,2個16位可編程定時計數(shù)器,2個全雙工串行通信口,看門狗(WDT)電路,片內(nèi)時鐘振蕩器。 </p

12、><p>  此外,AT89S51設(shè)計和配置了振蕩頻率可為0Hz并可通過軟件設(shè)置省電模式??臻e模式下,CPU暫停工作,而RAM定時計數(shù)器,串行口,外中斷系統(tǒng)可繼續(xù)工作,掉電模式凍結(jié)振蕩器而保存RAM的數(shù)據(jù),停止芯片其它功能直至外中斷激活或硬件復(fù)位。同時該芯片還具有PDIP、TQFP和PLCC等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。</p><p><b>  系統(tǒng)分析</b>

13、;</p><p><b>  4.1系統(tǒng)框圖</b></p><p>  模擬電子琴的系統(tǒng)主要由單片機</p><p><b>  圖1 系統(tǒng)構(gòu)成框圖</b></p><p><b>  4.2系統(tǒng)工作原理</b></p><p>  本系統(tǒng)掃描鍵盤矩

14、陣、顯示按鍵、揚聲器發(fā)出對應(yīng)音符。</p><p>  4X4行列式鍵盤識別及顯示原理如下:</p><p>  組成鍵盤的按鍵有機械式、電容式、導(dǎo)電橡膠式、薄膜式多種,但不管什么形式,其作用都是一個使電路接通與斷開的開關(guān)。目前微機系統(tǒng)中使用的鍵盤按其功能不同,通??煞譃榫幋a鍵盤和非編碼鍵盤兩種基本類型。 編碼鍵盤:鍵盤本身帶有實現(xiàn)接口主要功能所需的硬件電路。不僅能自動檢測被按下的

15、鍵,并完成去抖動、防串鍵等功能,而且能提供與被按鍵功能對應(yīng)的鍵碼(如ASCII碼)送往CPU。所以,編碼鍵盤接口簡單、使用方便。但由于硬件電路較復(fù)雜,因而價格較貴。 非編碼鍵盤:鍵盤只簡單地提供按鍵開關(guān)的行列矩陣。有關(guān)按鍵的識別、鍵碼的確定與輸入,去抖動等功能均由軟件完成。目前微機系統(tǒng)中,一般為了降低成本大多數(shù)采用非編碼鍵盤。 鍵盤接口必須具有去抖動、防串鍵、按鍵識別和鍵碼產(chǎn)生4個基本功能。 (1)去抖動:每個按

16、鍵在按下或松開時,都會產(chǎn)生短時間的抖動。抖動的持續(xù)時間與鍵的質(zhì)量相關(guān),一般為5—20mm。所謂抖動是指在識別被按鍵是必須避開抖動狀態(tài),只有處在穩(wěn)定接通或穩(wěn)定斷開狀態(tài)才能保證識別正確無誤。去抖問</p><p>  題可通過軟件延時或硬件電路解決。 (2)防串鍵:防串鍵是為了解決多個鍵同時按下或者前一按鍵沒有釋放又有新的按鍵按下時產(chǎn)生的問題。常用的方法有雙鍵鎖定和N鍵輪回兩種方法。雙鍵鎖定,是當(dāng)有兩個或兩個

17、以上的按鍵按下時,只把最后釋放的鍵當(dāng)作有效鍵并產(chǎn)生相應(yīng)的鍵碼。N鍵輪回,是當(dāng)檢測到有多個鍵被按下時,能根據(jù)發(fā)現(xiàn)它們的順序依次產(chǎn)生相應(yīng)鍵的鍵碼。 (3)被按鍵識別:如何識別被按鍵是接口解決的主要問題,一般可通過軟硬結(jié)合的方法完成。常用的方法有行掃描法和線反轉(zhuǎn)法兩種。行掃描法的基本思想是,由程序?qū)︽I盤逐行掃描,通過檢測到的列輸出狀態(tài)來確定閉合鍵,為此,需要設(shè)置入口、輸出口一個,該方法在微機系統(tǒng)中被廣泛使用。線反轉(zhuǎn)法的基本思想是通過行

18、列顛倒兩次掃描來識別閉合鍵,為此需要提供兩個可編程的雙向輸入/輸出端口。 (4)鍵碼產(chǎn)生:為了從鍵的行列坐標(biāo)編碼得到反映鍵功能的鍵碼,一般在內(nèi)存區(qū)中建立一個鍵盤編碼表,通過查表獲得被按鍵的鍵碼。</p><p><b>  硬件設(shè)計</b></p><p><b>  5.1系統(tǒng)總電路</b></p><p>  

19、本設(shè)計中仿真電路由單片機晶振電路、復(fù)位電路,數(shù)碼管顯示電路和44行列式鍵盤輸入電路構(gòu)成。系統(tǒng)總電路圖見附錄1。</p><p><b>  5.2復(fù)位電路</b></p><p>  常見的復(fù)位電路有三種形式:上電自動復(fù)位方式、按鍵電平復(fù)位方式和按鍵脈沖復(fù)位方式,本設(shè)計中采用了按鍵電平復(fù)位的方式,通過使RST端經(jīng)電阻與VCC電源接通實現(xiàn)復(fù)位,電路圖如圖2所示。<

20、/p><p>  圖2 單片機復(fù)位電路</p><p><b>  5.3晶振電路</b></p><p>  本設(shè)計中單片機的振蕩電路采用了內(nèi)部時鐘方式,在XTAL1和XTAL2之間跨接晶體振蕩器和微調(diào)電容,組成并聯(lián)諧振電路,構(gòu)成穩(wěn)定的自激振蕩器,如圖圖3所示,晶體振蕩器的振蕩頻率決定單片機的時鐘頻率。此設(shè)計中振蕩頻率12MHz.</p&g

21、t;<p>  圖3 單片機晶振電路</p><p>  5.4數(shù)碼管顯示電路</p><p><b>  1. LED結(jié)構(gòu)</b></p><p>  LED數(shù)碼管顯示器,由8只發(fā)光二極管組成。7只發(fā)光二極管排成“8”字形的7個段,另外一段構(gòu)成小數(shù)點,各段標(biāo)記如圖4所示。當(dāng)發(fā)光二極管導(dǎo)通時,相應(yīng)的點或線段發(fā)光,將這些二極管排成

22、一定圖形,控制不同組合的二極管導(dǎo)通,就可以顯示出不同的字形。通過不同的組合,可用來顯示數(shù)字0~9、字母A~F及小數(shù)點“.”等,它有共陰和共陽兩種結(jié)構(gòu)。七段LED的陽極連在一起稱為共陽極接法,而陰極接在一起的稱為共陰極接法。</p><p>  圖4 LED數(shù)碼管原理圖</p><p>  2. LED的工作原理</p><p>  共陰極的LED,只要在某該段二

23、極管加上高電平,該段即點亮,反之則暗。共陽極的與之相反。一個單片機應(yīng)用系統(tǒng)中,通常將控制LED顯示的8位數(shù)據(jù)稱為段選碼,七段LED的段選碼如表1所示。</p><p>  表1:七段LED的段代碼</p><p><b>  3. 顯示電路</b></p><p>  本設(shè)計中LED數(shù)碼管顯示電路采用了共陰極的接法,電路如圖5所示。</

24、p><p>  圖5 單片機數(shù)碼管顯示電路</p><p><b>  5.5鍵盤電路</b></p><p>  本設(shè)計中采用了44行列式鍵盤電路,</p><p>  圖6 4×4鍵盤電路</p><p><b>  5.6揚聲器電路</b></p>

25、<p>  本設(shè)計中揚聲器電路采用了揚聲器加NPN型的三極管組成的三極管開關(guān)電路,當(dāng)P1.0口是低電平時,三極管Q1導(dǎo)通,揚聲器發(fā)聲。</p><p><b>  圖6 揚聲器電路</b></p><p><b>  軟件設(shè)計</b></p><p>  本設(shè)計程序采用匯編語言編寫,程序主要包括主程序、鍵盤掃描程

26、序、數(shù)碼管顯示程序、中斷服務(wù)程序和延時程序。</p><p><b>  6.1主流程</b></p><p><b>  N</b></p><p><b>  N</b></p><p><b>  圖7 主流程圖</b></p><

27、;p><b>  6.2鍵盤掃描程序</b></p><p><b>  N </b></p><p><b>  Y</b></p><p><b>  N</b></p><p><b>  Y</b></p>

28、<p><b>  N</b></p><p><b>  Y</b></p><p>  圖8 鍵盤掃描流程圖</p><p>  6.3數(shù)碼管顯示程序</p><p><b>  6.4中斷服務(wù)程</b></p><p><b>

29、;  總結(jié) </b></p><p>  通過這次的設(shè)計使我認(rèn)識到我對單片機方面的知識知道的太少了,對于書本上的很多知識還不能靈活運用,有很多我們需要掌握的知識在等著我去學(xué)習(xí),我會在以后的學(xué)習(xí)生活中彌補我所缺少的知識。本次的設(shè)計使我從中學(xué)到了一些很重要的東西,那就是如何從理論到實踐的轉(zhuǎn)化,怎樣將我所學(xué)到的知識運用到我以后的工作中去。在大學(xué)的課堂的學(xué)習(xí)只是在給我們灌輸專業(yè)知識,而我們應(yīng)把所學(xué)的用到我們現(xiàn)

30、實的生活中去,此次的電子時鐘設(shè)計給我奠定了一個實踐基礎(chǔ),我會在以后的學(xué)習(xí)、生活中磨練自己,使自己適應(yīng)于以后的競爭。</p><p><b>  參考文獻</b></p><p>  [1] 李華. 單片機原理及應(yīng)用[M]. 蘭州:蘭州大學(xué)出版社,2001.</p><p>  [2] 何欽銘.C語言程序設(shè)計[M] .北京:高等教育出版社,200

31、7.</p><p><b>  附錄1</b></p><p><b>  附錄2</b></p><p>  //****************************************</p><p>  // 基于AT89c51的電子琴設(shè)計</p><

32、p>  //****************************************</p><p><b>  //學(xué) 校: </b></p><p><b>  //學(xué) 院: </b></p><p><b>  //班 級: </b></p><p>

33、<b>  //設(shè)計者:</b></p><p><b>  //時 間:</b></p><p>  //****************************************</p><p><b>  //程序介紹 :</b></p><p>  //該程序通

34、過定時器中斷</p><p>  //****************************************</p><p>  LINEEQU30H</p><p>  ROWEQU31H </p><p>  VALEQU32H ;賦值</p><p><

35、b>  ORG00H</b></p><p>  SJMPSTART</p><p>  ORG0BH ;中斷首地址</p><p>  LJMPINT_T0 ;跳轉(zhuǎn)到中斷子程序</p><p>  START:MOVP0,#00H</p><p>  MO

36、VTMOD,#01H ;初始化</p><p>  //***************按鍵掃描程序***************</p><p>  LSCAN:MOVP3,#0F0H ;行掃描</p><p>  L1:JNBP3.0,L2</p><p>  LCALLDELAY</p><

37、p>  JNBP3.0,L2</p><p>  MOVLINE,#00H</p><p>  LJMPRSCAN</p><p>  L2:JNBP3.1,L3</p><p>  LCALLDELAY</p><p>  JNBP3.1,L3</p><p> 

38、 MOVLINE,#01H</p><p>  LJMPRSCAN</p><p>  L3:JNBP3.2,L4</p><p>  LCALLDELAY</p><p>  JNBP3.2,L4</p><p>  MOVLINE,#02H</p><p>  LJM

39、PRSCAN</p><p>  L4:JNBP3.3,L1</p><p>  LCALLDELAY</p><p>  JNBP3.3,L1</p><p>  MOVLINE,#03H</p><p>  RSCAN:MOVP3,#0FH ;列掃描</p><

40、p>  C1:JNBP3.4,C2</p><p>  MOVROW,#00H</p><p>  LJMPCALCU</p><p>  C2:JNBP3.5,C3</p><p>  MOVROW,#01H</p><p>  LJMPCALCU</p><p&

41、gt;  C3:JNBP3.6,C4</p><p>  MOVROW,#02H</p><p>  LJMPCALCU</p><p>  C4:JNBP3.7,C1</p><p>  MOVROW,#03H</p><p>  CALCU:MOVA,LINE ;計

42、算鍵號</p><p>  MOVB,#04H</p><p><b>  MULAB</b></p><p>  ADDA,ROW</p><p>  MOVVAL,A</p><p>  //*******************************************

43、</p><p>  //根據(jù)鍵號查表得到定時器的定時常數(shù)</p><p>  //從而發(fā)出不同頻率的聲音</p><p>  //*******************************************</p><p>  MOVDPTR,#TABLE2</p><p><b>  MO

44、VB,#2</b></p><p><b>  MULAB</b></p><p><b>  MOVR1,A</b></p><p>  MOVCA,@A+DPTR</p><p>  MOVTH0,A</p><p><b>  I

45、NCR1</b></p><p><b>  MOVA,R1</b></p><p>  MOVCA,@A+DPTR</p><p>  MOVTL0,A</p><p>  MOVIE,#82H</p><p><b>  SETBTR0</b&g

46、t;</p><p>  MOVA,VAL ;顯示鍵號</p><p>  MOVDPTR,#TABLE1</p><p>  MOVCA,@A+DPTR</p><p><b>  MOVP0,A</b></p><p>  //*********************

47、**********************</p><p><b>  //等待按鍵釋放</b></p><p>  //*******************************************</p><p>  W0:MOVA,P3</p><p>  CJNEA,#0FH,W1<

48、/p><p>  MOVP0,#00H;去抖動</p><p><b>  CLRTR0</b></p><p>  LJMPLSCAN</p><p>  W1:MOVA,P3</p><p>  CJNEA,#0F0H,W2</p><p>  MO

49、VP0,#00H</p><p><b>  CLRTR0</b></p><p>  LJMPLSCAN</p><p>  W2:SJMPW0</p><p>  //****************************************</p><p>  //定時

50、器0中斷服務(wù)子程序,輸出特定頻率的方波,</p><p><b>  //驅(qū)動揚聲器發(fā)聲</b></p><p>  //***************************************</p><p>  INT_T0:MOVDPTR,#TABLE2</p><p>  MOVA,VAL</

51、p><p><b>  MOVB,#2</b></p><p><b>  MULAB</b></p><p><b>  MOVR1,A</b></p><p>  MOVCA,@A+DPTR</p><p>  MOVTH0,A<

52、/p><p><b>  INCR1</b></p><p><b>  MOVA,R1</b></p><p>  MOVCA,@A+DPTR</p><p>  MOVTL0,A</p><p><b>  CPLP1.0</b><

53、;/p><p><b>  RETI</b></p><p>  //*****************延時子程序*******************</p><p>  DELAY:MOVR6,#10 </p><p>  D1:MOVR7,#250</p><p><

54、;b>  DJNZR7,$</b></p><p>  DJNZR6,D1</p><p><b>  RET</b></p><p>  TABLE1: DB 06H,5BH,4FH,66H,6DH,7DH,07H ;數(shù)碼管顯示十六進制數(shù)

55、 字形代碼</p><p>  TABLE2: DW 64021,64103,64260,64400 ;音符代碼</p><p>  DW 64524,64580,64684,64777</p><p>  DW 64820,64898,64968,65030</p><p>  DW 65058,65110,651

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論