2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩18頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  畢 業(yè) 論 文</p><p>  論文題目 頻率計數(shù)器電路設(shè)計</p><p>  系 部 機電工程學(xué)院 </p><p>  專 業(yè) 機電一體化 </p><p>  班 級 機電0 9(1)班</p><p&g

2、t;<b>  2012年 5月</b></p><p><b>  目錄</b></p><p>  一 摘要………………………………………………………………………………二 概述……………………………………………………………………………..</p><p>  三 設(shè)計任務(wù)書…………………………………………………………

3、…………四 設(shè)計原理及方案…………………………………………………………….</p><p>  五 整體電路設(shè)計…………………………………………………………………</p><p><b>  六 單元電路設(shè)計</b></p><p>  1.邏輯控制電路…………………………………………………………………2.鎖存器和清零……………………………

4、…………………………………..3.脈沖形成電路………………………………………………………………..4.時基電路和閘門電路……………………………………………………….5.被測信號幅度擴展電路…………………………………………………….6.整體電路圖…………………………………………………………………….七 整體電路調(diào)試與仿真……………………………………………………….八 實驗心得……………………………………………………………………

5、… 參考文獻…………………………………………………………………………..</p><p><b>  摘要</b></p><p>  在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測量方案、測量結(jié)果都有十分密切的關(guān)系,因此頻率的測量就顯得更為重要。測量頻率的方法有多種,其中電子計數(shù)器測量頻率具有精度高、使用方便、測量迅速,以及便于實現(xiàn)測量過程自動化等

6、優(yōu)點,是頻率測量的重要手段之一。電子計數(shù)器測頻有兩種方式:一是直接測頻法,即在一定閘門時間內(nèi)測量被測信號的脈沖個數(shù);二是間接測頻法,如周期測頻法。直接測頻法適用于高頻信號的頻率測量,間接測頻法適用于低頻信號的頻率測量。本文闡述了用數(shù)字電路設(shè)計了一個簡單的數(shù)字頻率計的過程。</p><p>  關(guān)鍵字: 頻率 計數(shù)器 頻率測量</p><p><b>  Abstr

7、act</b></p><p>  In electronic technology, the frequency is one of the most basic parameters, and with many electrical parameters of the measurement program, the measurement results have a very close r

8、elationship between the frequency of measurement, therefore it is even more important. Measuring frequency of means, including electronic counter measure frequency with high precision, easy to use, rapid measurement, and

9、 measurement is easy to realize the advantages of process automation, is an important means of f</p><p>  Keywords: frequency counter frequency measurement</p><p><b>  概述</b>

10、</p><p>  頻率計的基本原理是用一個頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時鐘,對比測量其他信號的頻率。通常情況下計算每秒內(nèi)待測信號的脈沖個數(shù),此時我們稱閘門時間為1秒。閘門時間也可以大于或小于一秒。閘門時間越長,得到的頻率值就越準(zhǔn)確,但閘門時間越長則沒測一次頻率的間隔就越長。閘門時間越短,測的頻率值刷新就越快,但測得的頻率精度就受影響。本文。數(shù)字頻率計是用數(shù)字顯示被測信號頻率的儀器,被測信號可以是正弦波,方波或

11、其它周期性變化的信號。</p><p>  電子系統(tǒng)非常廣泛的應(yīng)用領(lǐng)域內(nèi),到處可見到處理離散信息的數(shù)字電路。數(shù)字電路制造工業(yè)的進步,使得系統(tǒng)設(shè)計人員能在更小的空間內(nèi)實現(xiàn)更多的功能,從而提高系統(tǒng)可靠性和速度。 </p><p>  如配以適當(dāng)?shù)膫鞲衅鳎梢詫Χ喾N物理量進行測試,比如機械振動的頻率,轉(zhuǎn)速,聲音的頻率以及產(chǎn)品的計件等等。因此,數(shù)字頻率計是一種應(yīng)用很廣泛的儀器</p>

12、<p>  數(shù)字集成電路廣泛用于計算機、控制與測量系統(tǒng),以及其它電子設(shè)備中。一般說來,數(shù)字系統(tǒng)中運行的電信號,其大小往往并不改變,但在實踐分布上卻有著嚴(yán)格的要求,這是數(shù)字電路的一個特點。數(shù)字集成電路作為電子技術(shù)最重要的基礎(chǔ)產(chǎn)品之一,已廣泛地深入到各個應(yīng)用領(lǐng)域。 </p><p><b>  設(shè)計任務(wù)書</b></p><p>  設(shè)計一簡易數(shù)字頻率計,其基

13、本要求是:</p><p>  1)測量頻率范圍0~9.9KHz;</p><p>  2)最大讀數(shù)9KHZ,閘門信號的采樣時間為1s;.</p><p>  3)被測信號可以是正弦波、三角波和方波;</p><p>  4)顯示方式為4位十進制數(shù)顯示;</p><p>  5)使用EWB進行仿真;</p>

14、<p>  6)輸入信號最大幅值可擴展。</p><p>  設(shè)計原理及方案 </p><p>  數(shù)字頻率計是直接用十進制的數(shù)字來顯示被測信號頻率的一種測量裝置。它不僅可以測量正弦波、方波、三角波和尖脈沖信號的頻率 ,而且還可以測量它們的周期。</p><p>  所謂頻率就是在單位時間(1s)內(nèi)周期信號的變化次數(shù)。若在一定時間間隔T內(nèi)測得周

15、期信號的重復(fù)變化次數(shù)為N,則其頻率為f=N/T,</p><p>  圖1 數(shù)字頻率計組成框圖</p><p>  據(jù)此,設(shè)計圖中脈沖形成的電路的作用是將被測信號變成脈沖信號,其重復(fù)頻率等于被測信號的頻率fX。,時間基準(zhǔn)信號發(fā)生器提供標(biāo)準(zhǔn)的時間脈沖信號,若其周期為1s,則們控電路的輸出信號持續(xù)時間亦準(zhǔn)確的等于1s。閘門電路由標(biāo)準(zhǔn)秒信號進行控制當(dāng)秒信號來到時,閘門開通,被測脈沖信號

16、通過閘門送到計數(shù)器譯碼顯示電路。秒信號結(jié)束時閘門關(guān)閉,技計數(shù)器得的脈沖數(shù)N是在1秒時間內(nèi)的累計數(shù),所以被測頻率fX= N Hz</p><p><b>  整體電路設(shè)計</b></p><p>  如圖2(a),2(b)為數(shù)字頻率計的工作過程圖</p><p>  圖2(a) 數(shù)字頻率計的組成框圖</p><p>  

17、圖2(b) 數(shù)字頻率計的工作時序波形</p><p>  數(shù)字頻率計的工作過程是:被測信號fX經(jīng)脈沖電路整形,變成如Ⅰ所示的脈沖波形,其周期TX與被測信號的周期相同。實際電路輸出標(biāo)準(zhǔn)時間信號Ⅱ,設(shè)其高電平持續(xù)時間為1s,計數(shù)器的計數(shù)時間就是1s,計數(shù)器計得的脈沖數(shù)N(如圖Ⅲ所示)就是被測信號的頻率。</p><p>  邏輯控制單元的作用有兩個:</p><p>

18、  其一,產(chǎn)生清零脈沖④,使計數(shù)器每次從零開始計數(shù);</p><p>  其二,產(chǎn)生所存信號⑤,是顯示器上的數(shù)字穩(wěn)定不變。這些信號之間的時序關(guān)系如圖2(b)所示數(shù)字頻率計由時基電路、控制電路、閘門電路、計數(shù)鎖存和清零電路、脈沖形成電路和譯碼顯示電路組成</p><p><b>  單元電路設(shè)計</b></p><p><b> ?、?

19、邏輯控制電路</b></p><p>  根據(jù)圖2(b)所示時序波形,在標(biāo)準(zhǔn)時間信號②結(jié)束時所產(chǎn)生的下降沿用來產(chǎn)生鎖存信號⑤ ,同時鎖存信號經(jīng)過反相器有用來產(chǎn)生清零信號④,鎖存信號的脈沖寬度由本身電路的時間常數(shù)決定。因此這兩個脈沖信號④和⑤可以由單穩(wěn)態(tài)觸發(fā)器產(chǎn)生,其電路如圖3所示</p><p>  設(shè)鎖存信號⑤的脈沖寬度tw=1.1RC若取R=1000 KΩ、C=0.01 U

20、f,則,tw=1.1RC=0.011s。</p><p><b>  圖3 控制電路</b></p><p><b> ?、?鎖存器和清零</b></p><p>  鎖存器的作用是將計數(shù)器在1s結(jié)束時的計數(shù)值進行鎖存,使顯示器獲得穩(wěn)定的測量值。因為計數(shù)器在1s內(nèi)要計算成千上萬個輸入脈沖,若不加鎖存器,顯示器上的數(shù)字將隨計

21、數(shù)器的輸出而變化,不便于讀數(shù)。如圖2所示,1s的計數(shù)結(jié)束時。邏輯控制電路發(fā)出的鎖存信號⑤,將計數(shù)器此時的值送到譯碼器,因此顯示器的數(shù)字是穩(wěn)定的。</p><p>  選用了兩片8D鎖存器74LS273可以完成上述鎖存功能。74LS273的真值表如表1所示。</p><p>  表1 74LS273真值表 </p><p>  當(dāng)時鐘脈沖CP的上升沿到來時,鎖存器

22、的輸出等于輸入,即Q=D。從而將4個十進制計數(shù)器即個位、十位、百位、千位的輸出值送到鎖存器的輸出端。正脈沖結(jié)束后,無論輸入端D為何值,輸出端Q的狀態(tài)仍然保持原來的狀態(tài)。所以在計數(shù)周期內(nèi),計數(shù)器的輸出不會送到譯碼顯示器。</p><p>  清零信號是在計數(shù)器的計算值送鎖存后,為了下次計數(shù)而把計數(shù)器進行清零,所以在鎖存信號發(fā)出后,利用反相器的功能得到一個對計數(shù)器清零的延時信號。有計數(shù)器74LS90的R9(0)端接低

23、電平,而把R0(1)作為清零輸入,該清零信號是高電平有效,而鎖存信號也是高電平有效,而且計數(shù)器清零必須在單穩(wěn)觸發(fā)信號之后,故在延遲反相器的基礎(chǔ)上再加個反相器得到計數(shù)器的清零信號。</p><p><b> ?、?脈沖形成電路</b></p><p>  脈沖形成電路的作用是將待測信號(如正弦波、三角波或者其他呈周期性變化的波形)整形為計數(shù)器所要求的脈沖信號,其周期不變

24、。</p><p>  將其他波形變換成脈沖波的電路有多種,如施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器、比較器等,其中施密特觸發(fā)器的應(yīng)用較多。電路形式采用555構(gòu)成的施密特觸發(fā)器,電路原理如圖4(a) 所示。 </p><p>  圖中R1與R2的作用是將被測信號進行電平移動,因為555構(gòu)成的施密特觸發(fā)器的上觸發(fā)電平UT+ =2/3Ucc,下觸發(fā)電平UT=1/3Ucc,如圖4(b)所示。

25、 4(a) 原理圖</p><p>  圖4(b) 波形圖</p><p>  輸入信號的直流電平Uxo應(yīng)該滿足下列關(guān)系1/3Ucc<Uxo<2/3Ucc。</p><p>  輸入信號的幅度Uxm與直流電平Uxo和回差△UT有關(guān),一般說來,△UT越小,對輸

26、入信號的幅值Uxm要求越小,如果需要減小回差,可以在555的控制端CO接入一個正電壓。如果取+Ucc=+5V,回差△UT=1.67V。對于圖4(b)所示的波形圖,若取Uxo=1/3Ucc+1/2△UT=2.5V,則輸入信號幅度為 Uxm>1/2△UT=0.83V.為使Uxo=2.5V,對于圖4(a)所示電路,則取R1=R2=10KΩ。</p><p> ?、?時基電路和閘門電路</p><

27、;p>  如圖2(a)所示,閘門電路是控制計數(shù)器計數(shù)的標(biāo)準(zhǔn)時間信號,決定了被測信號的脈沖通過閘門進入計數(shù)器進行計數(shù)的計數(shù)個數(shù),其精度很大程度上決定了頻率計的頻率測量精度。當(dāng)要求頻率測量精度高時,應(yīng)使用晶體振蕩器通過分頻獲得。在此頻率計中,時基信號采用555定時器構(gòu)成的多諧振蕩器電路,當(dāng)標(biāo)準(zhǔn)時間信號(1s高電平)來到時,閘門開通,被測信號的脈沖通過閘門進入計數(shù)器計數(shù);標(biāo)準(zhǔn)時間脈沖結(jié)束時(為低電平),閘門關(guān)閉,計數(shù)器無時鐘脈沖輸入。例

28、如,時基信號的作用時間為1s,閘門電路將打開1s,若在這段時間內(nèi)通過閘門電路的脈沖數(shù)目為1000個,則被測信號的頻率就是1000Hz。由此可見閘門電路的邏輯功能可以有一個與非門來完成,如圖5所示</p><p>  圖5 標(biāo)準(zhǔn)脈沖產(chǎn)生的閘門電路</p><p>  設(shè)標(biāo)準(zhǔn)時基為1s的脈沖是由555定時器構(gòu)成的多謝振蕩器電路產(chǎn)生的,由555定時器構(gòu)成的多諧振蕩氣的周期計算公式:t=t1+

29、t2=0.693(R1+2R2)C;占空比為:D= t2/ t1+t2= R2/ R1+2R2<50%,t1為正方波的寬度,t2為負方波的寬度;若取振蕩器的頻率f0=1/ t1+t2=0.8HZ,則振蕩器的輸出波形如圖6所示,其中t1=1s,t2=0.25s。</p><p>  圖6 閘門電路各波形特點</p><p>  利用式t1≈0.693(R1+R2)C;t2≈0.

30、693R2C。若取C=10Uf,則R2=36.07KΩ,取標(biāo)稱值為36KΩ;R1=108.22KΩ,取R1=108KΩ。門電路的輸入輸出個點波形如圖6所示。</p><p>  ⒌ 被測信號幅度擴展電路</p><p>  采用圖7所示電路,可以擴展被測信號幅度范圍。輸入信號Ux先經(jīng)過限幅器,在經(jīng)過施密特觸發(fā)器整形。當(dāng)輸入信號的幅度較小時,限幅器的二極管均截止,不起限幅作用。</p&

31、gt;<p>  圖7 幅度范圍擴大電路</p><p><b>  6. 整體電路圖</b></p><p>  圖8 整體電路圖</p><p>  經(jīng)過以上各單元電路的設(shè)計,可以得到數(shù)字頻率計數(shù)器的整體電路;如圖8所示。</p><p>  電路的工作過程是:接通電源后,出發(fā)手動復(fù)位開關(guān)S

32、,計數(shù)器清零。當(dāng)標(biāo)準(zhǔn)時間秒脈沖到來時,與非門構(gòu)成的閘門電路開通,4片74LS90組成的計數(shù)器開始計數(shù),最大計數(shù)N=9999HZ。</p><p>  標(biāo)準(zhǔn)時間秒脈沖結(jié)束時所產(chǎn)生的負跳變觸發(fā)單穩(wěn)態(tài)觸發(fā)器使之產(chǎn)生正脈沖,它的正跳變作為鎖存器74LS273的鎖存時鐘脈沖,是鎖存器的輸出等于此時計數(shù)器的值。單穩(wěn)態(tài)觸發(fā)器輸出的脈沖經(jīng)過兩個與非門延時,用來對計數(shù)器清零,從而完成一次測量。</p><p&g

33、t;  下一個秒脈沖來到時又按照計數(shù)→鎖存→復(fù)位的過程完成第二次測量,如此的周而復(fù)始,實現(xiàn)頻率的自動測量。</p><p><b>  整體電路調(diào)試與仿真</b></p><p>  本次設(shè)計選用的器件有74LS90,74LS48,74LS273,555定時電路,數(shù)碼管,共陰極七段LED數(shù)碼管LC5011,電阻,電容,二極管等,下面為大家介紹主要器件在這次頻率計數(shù)器的

34、應(yīng)用及原理</p><p><b> ?、?555定時器</b></p><p>  555集成電路開始是作定時器應(yīng)用的,所以叫做555定時器或555時基電路。但后來經(jīng)過開發(fā),它除了作定時延時控制外,還可用于調(diào)光、調(diào)溫、調(diào)壓、調(diào)速等多種控制及計量檢測。此外,還可以組成脈沖振蕩、單穩(wěn)、雙穩(wěn)和脈沖調(diào)制電路,用于交流信號源、電源變換、頻率變換、脈沖調(diào)制等。由于它工作可靠、使

35、用方便、價格低廉,目前被廣泛用于各種電子產(chǎn)品中,555集成電路內(nèi)部有幾十個元器件,有分壓器、比較器、基本R-S觸發(fā)器、放電管以及緩沖器等,電路比較復(fù)雜,是模擬電路和數(shù)字電路的混合體,如圖1所示。 555集成電路是8腳封裝,雙列直插型,如圖2-2(A)所示,按輸入輸出的排列可看成如圖2-2(B)所示。其中6腳稱閾值

36、端(TH),是上比較器的輸入;2腳稱觸發(fā)端(TR),是下比較器的輸入;3腳是輸出端(Vo),它有O和1兩種狀態(tài),由輸入端所加的電平?jīng)Q定;7腳是放電端(DIS),它是內(nèi)部放電管的輸出,有懸空和接地兩種狀態(tài),也是由輸入端的狀態(tài)決定;4腳是復(fù)位端(MR),加上低電平時可使輸出為低電</p><p>  圖 2-2 </p><p> ?、?555構(gòu)成的單穩(wěn)電路 </p>&

37、lt;p>  555電路的6、7腳并接起來接在定時電容CT上,用2腳作輸入就成為脈沖啟動型單穩(wěn)電路,如圖2-3(a)所示,電路的2腳平時接高電平,當(dāng)輸入接低電平或輸入負脈沖時才啟動電路,用等效觸發(fā)器替代555后見圖2-3(b)所示,下面分析它的工作原理: 穩(wěn)態(tài):接上電源后,R=1,S=1,輸出Vo=0,DIS端接地,CT上的電壓為0即R=0,輸出仍保持Vo=0,這是它的穩(wěn)態(tài)。 暫穩(wěn)態(tài):輸入負脈沖后,輸入S=0,輸出

38、立即翻轉(zhuǎn)成Vo=1,DIS端開路,電源通過RT向CT充電,暫穩(wěn)態(tài)開始。經(jīng)過時間TD后,CT上電壓上升到>2/3VDD時,輸入又成為R=1,S=1,這時負脈沖已經(jīng)消失,輸出又翻轉(zhuǎn)成Vo=0,暫穩(wěn)態(tài)結(jié)束。這時內(nèi)部放電開關(guān)接通,DIS端接地,CT上電荷很快放到零,為下一次定時控制作準(zhǔn)備。電路的定時時間TD=1.1RTCT。 這兩種單穩(wěn)電路常用作定時延時控制。</p><p>  圖2-3脈沖啟動型單穩(wěn)電

39、路</p><p> ?、?555構(gòu)成的施密特觸發(fā)器</p><p>  如圖2-4,555定時器構(gòu)成的施密特出發(fā)器,其工作原理: </p><p>  圖2-4 555定時器構(gòu)成的施密特觸發(fā)器</p><p><b> ?。╝) 上升過程 </b></p><p><b> ?。╞

40、)下降過程</b></p><p> ?、?555定時器構(gòu)成的多諧振蕩器 由555定時器構(gòu)成的多諧振蕩器如圖2-5(a)所示,其工作波形見圖2-5(b)。接通電源后,電源VDD通過R1和R2對電容C充電,當(dāng)Uc2C,由電容C放電時間決定;TH=0.7(R1+R2)C,由電容C充電時間決定,脈沖周期T≈TH+TL。</p><p>  圖2-5 555構(gòu)成多諧振蕩器上

41、面僅討論了由555定時器在本次數(shù)字頻率計課程設(shè)計中的應(yīng)用及原理。實際上,由于555定時器靈敏度高,功能靈活,因而在電子電路中獲得廣泛應(yīng)用。 </p><p><b>  ⒉ 74Ls273</b></p><p>  74Ls273是帶有清除端的8D觸發(fā)器,只有在清除端保持高電平時,才具有鎖存功能,鎖存控制端為11腳CLK,采用上升沿鎖存。 CPU 的ALE信號必須經(jīng)

42、過反相器反向之后,才能與74LS273的控制端CLK 端相連。如下圖是74ls273的管腳圖:</p><p>  1D~8D為數(shù)據(jù)輸入端,1Q~8Q為數(shù)據(jù)輸出端,正脈沖觸發(fā),低電平清除,常用作8位地址鎖存器。本此設(shè)計就是利用兩片8D74Ls273完成鎖存功能的。</p><p>  譯碼顯示電路可由共陰極7段LED數(shù)碼管LC5011和輸出高電平有效的譯碼器74LS48組成。74LS48的

43、內(nèi)部有升壓電阻,因此可以直接與顯示器相連接。為了使整數(shù)數(shù)值最前面的零不顯示,將數(shù)碼管顯示器最高位的脈沖消隱輸入 接地,并將高位的脈沖消隱輸出 與低位的脈沖消隱輸入 。</p><p>  3.74Ls90引腳圖與管腳功能表資料</p><p>  74ls90是常用的二-五-十進制異步計數(shù)器,做八進制的就先把74ls90接成十進制的(CP1與Q0接,以CP0做輸入,Q3做輸出就是十進制的)

44、,然后用異步置數(shù)跳過一個狀態(tài)達到八進制計數(shù).下圖為74Ls90引腳圖: </p><p>  <74ls90引腳圖></p><p><b> ?、?74Ls48</b></p>

45、<p> ?。?)將譯碼驅(qū)動器74LS48和共陰極數(shù)碼管LC5011-11(547R)插入IC空插座中,按圖16接線。接通電源后,觀察數(shù)碼管顯示結(jié)果是否和撥碼開關(guān)指示數(shù)據(jù)一致。如無8421碼撥碼開關(guān),可用四位邏輯開關(guān)(即普通撥動開關(guān))代替。如下圖所示: </p><p><b>  心得體會</b></p><p>  在整個課程設(shè)計完后,總的感

46、覺是:有收獲。以前上課都是上一些最基本的東西而現(xiàn)在卻可以將以前學(xué)的東西作出有實際價值的東西。在這個過程中,我的確學(xué)得到很多在書本上學(xué)不到的東西,如:如何利用現(xiàn)有的元件組裝得到設(shè)計利用計算機來畫圖等等。但也遇到了不少的挫折,有時遇到了一個錯誤怎么找也找不到原因所在,找了老半天結(jié)果卻是接頭的方向接錯了,有時更是忘接電源了。在學(xué)習(xí)中的小問題在課堂上不可能犯,在動手的過程中卻很有可能犯。特別是在接電路時,一不小心就會犯錯,而且很不容易檢查出來。

47、但現(xiàn)在回過頭來看,還是挺有成就感的。我的動手能力又有了進一步的提高,我感到十分的高興</p><p>  我學(xué)到了課本上沒有的東西,也學(xué)會了如何利用計算機來畫電路圖,這在以后的學(xué)習(xí)和生活中會有很大的用處,增強了我的動手能力和實踐能力,但是我還有不足,我會在以后的學(xué)習(xí)中逐步提高,做一個動手能力強的大學(xué)生。</p><p>  參考文獻</p><p&g

48、t;  [1] 楊剛,龍海燕.現(xiàn)代電子技術(shù)——VHDL與數(shù)字系統(tǒng)設(shè)計[M].北京:電子工業(yè)出版社,2004</p><p>  [2]顧斌,趙明忠,姜志鵬,馬才根.數(shù)字電路EDA設(shè)計.西安[M].西安電子科技大學(xué)出版社,2007</p><p>  [3]王道憲.CPLD/FPGA可編程器件應(yīng)用與開發(fā)[M].北京:國防工業(yè)出版社,2003 [4]謝煌,黃為.基于VHDL語言設(shè)計頻率

49、計[J].北京:現(xiàn)代電子技術(shù),2003,14 [5]武衛(wèi)華,陳德宏.基于EDA技術(shù)的數(shù)字頻率計芯片化的實現(xiàn)[J].電測與儀表,2004,4 [6]盧毅等,VHDL與數(shù)字電路設(shè)計[M].北京:科學(xué)院,2001 [7]潘松,VHDL實用教程[M].成都:電子科技大學(xué)出版社,2000 [8]徐志軍,大規(guī)??删幊踢壿嬈骷捌鋺?yīng)用[M].成都:電子科技大學(xué)出版社,2000 [9]候伯華,數(shù)字系統(tǒng)設(shè)計基礎(chǔ)[M

50、].西安電子科技大學(xué)出版社,2000 [10]張亦華等.數(shù)字電路EDA入門---VHDL程序?qū)嵗痆M].北京:北京郵電大學(xué)出版社,2003 [11]楊剛,李雷.數(shù)字電子技術(shù)基礎(chǔ)教程[M].北京:科技出版社,2001 [12]徐志軍等.CPLD/FPGA的開發(fā)與應(yīng)用[M].北京:電子工業(yè)出版社,2002 [13]黃天戌等.用FPGA設(shè)計數(shù)字頻率計[J].工業(yè)儀表與自動化裝置,2005</p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論