2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩16頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  課 程 設(shè) 計(jì)</b></p><p>  論文題目:EDA交通燈課程設(shè)計(jì) </p><p>  作 者: </p><p>  專(zhuān) 業(yè): 電氣工程及其自動(dòng)化 </p><p><b>  班 級(jí): </b&g

2、t;</p><p>  學(xué) 號(hào): </p><p>  指導(dǎo)老師: </p><p>  2012年 月 號(hào)</p><p><b>  目錄</b></p><p>  方案分析與對(duì)比·····&#

3、183;··························2</p><p>  1.1方案分析·····

4、;·································2</p><p>

5、;  1.2方案對(duì)比···································

6、···2</p><p>  整體設(shè)計(jì)論述····························

7、3;·····3</p><p>  單元模塊設(shè)計(jì)··························&#

8、183;·······6</p><p>  3.1時(shí)鐘分頻模塊·······················

9、83;·········6</p><p>  3.2交通燈控制及計(jì)時(shí)模塊·····················

10、·····7</p><p>  3.3掃描顯示譯碼模塊··························

11、;····9</p><p>  3.4頂層文件的編寫(xiě)···························

12、;····10</p><p>  硬件實(shí)驗(yàn)方案及實(shí)驗(yàn)結(jié)果·······················13</p><p&g

13、t;  4.1硬件實(shí)驗(yàn)方案·································13</p&

14、gt;<p>  4.2實(shí)驗(yàn)結(jié)果·································

15、;····15</p><p>  收獲和體會(huì)···························

16、83;·······15</p><p><b>  方案分析與對(duì)比</b></p><p><b>  §1.1方案分析</b></p><p>  通過(guò)分析可以知道,所要設(shè)計(jì)的交通信號(hào)燈控制電路要能夠適用于由一條主干道和一條支干道的匯合點(diǎn)形

17、成的十字交叉路口。能夠做到主、支干道的紅綠燈閃亮的時(shí)間不完全相同,在綠燈跳變紅燈的過(guò)程中能夠用黃燈進(jìn)行過(guò)渡,使得行駛過(guò)程中的車(chē)輛有足夠的時(shí)間停下來(lái)。還要求在主、支干道各設(shè)立一組計(jì)時(shí)顯示器,能夠顯示相應(yīng)的紅、黃、綠倒計(jì)時(shí)??梢岳肰HDL語(yǔ)言合理設(shè)計(jì)系統(tǒng)功能,使紅黃綠燈的轉(zhuǎn)換有一個(gè)準(zhǔn)確的時(shí)間間隔和轉(zhuǎn)換順序。</p><p><b>  §1.2方案對(duì)比</b></p>

18、<p>  實(shí)現(xiàn)路口交通燈系統(tǒng)的控制方法很多,可以用標(biāo)準(zhǔn)邏輯器件、可編程序控制器和單片機(jī)等方案來(lái)實(shí)現(xiàn)。</p><p>  若用單片機(jī)方案來(lái)實(shí)現(xiàn)的話,模型可以由電源電路、單片機(jī)主控電路、無(wú)線收發(fā)控制電路和顯示電路四部分組成。在電源電路中,需要用到+5V的直流穩(wěn)壓電源,無(wú)線收發(fā)控制電路和顯示電路應(yīng)由編碼芯片和數(shù)據(jù)發(fā)射模塊兩部分組成,主控電路的主要元件為AT89C51。硬件設(shè)計(jì)完成后還要利用計(jì)算機(jī)軟件經(jīng)行軟

19、件部分的設(shè)計(jì)才能夠?qū)崿F(xiàn)相應(yīng)的功能。</p><p>  雖然利用單片機(jī)系統(tǒng)設(shè)計(jì)的交通燈控制器相對(duì)來(lái)說(shuō)較穩(wěn)定,能夠完成較多功能的實(shí)現(xiàn),但這些控制方法的功能修改及調(diào)試都需要硬件電路的支持,在一定程度上增加了功能修改及系統(tǒng)設(shè)計(jì)與調(diào)試的困難。</p><p>  相反,使用基于FPGA的設(shè)計(jì)方法具有周期短,設(shè)計(jì)靈活,易于修改等明顯的的優(yōu)點(diǎn)。而且,隨著FPGA器件、設(shè)計(jì)語(yǔ)言和電子設(shè)計(jì)自動(dòng)化工具的發(fā)展

20、和改進(jìn),越來(lái)越多的電子系統(tǒng)采用FPGA來(lái)設(shè)計(jì)。未來(lái),使用FPGA器件設(shè)計(jì)的產(chǎn)品將出現(xiàn)在各個(gè)領(lǐng)域里。因此,此次的交通信號(hào)燈控制器的設(shè)計(jì)將采用基于FPGA的設(shè)計(jì)方案來(lái)實(shí)現(xiàn)所要求的功能。</p><p><b>  整體設(shè)計(jì)論述</b></p><p>  根據(jù)設(shè)計(jì)要求和系統(tǒng)所具有的功能,并參考相關(guān)的文獻(xiàn)資料,經(jīng)行方案設(shè)計(jì),可以畫(huà)出如下圖所示的交通信號(hào)燈控制器的系統(tǒng)框圖。

21、 </p><p>  1kHZ </p><p>  1kHZ 1HZ </p><p>  支干道車(chē)輛檢測(cè) </p><p>  1kHZ 數(shù)碼管及LED信號(hào) </p>

22、<p>  系統(tǒng)的狀態(tài)圖如下所示</p><p><b>  CAR='0'</b></p><p>  CAR='1' c="1001111" </p><p><b>  CAR='0

23、9;</b></p><p>  c="1001111" CAR='1' </p><p>  c="0101100" c="1001010"</p><p>  c="0110001"<

24、/p><p>  S0:支干道沒(méi)有車(chē)輛行駛,支干道綠燈,支干道紅燈</p><p>  S1:支干道有車(chē)輛行駛,支干道綠燈,支干道紅燈</p><p>  S2:主干道黃燈,支干道綠燈</p><p>  S3:主干道紅燈,支干道綠燈</p><p>  S4:主干道紅燈,支干道黃燈</p><p>

25、;  根據(jù)以上設(shè)計(jì)思路,可以得到如下的頂層文件原理圖</p><p><b>  頂層文件的實(shí)體圖:</b></p><p><b>  單元模塊設(shè)計(jì)與仿真</b></p><p>  §3.1時(shí)鐘分頻模塊</p><p>  系統(tǒng)的動(dòng)態(tài)掃描需要1HZ的脈沖,而系統(tǒng)時(shí)鐘計(jì)時(shí)模塊需要1HZ的

26、脈沖。分頻模塊主要為系統(tǒng)提供所需的時(shí)鐘計(jì)時(shí)脈沖。該模塊將1kHZ的脈沖信號(hào)進(jìn)行分頻,產(chǎn)生1S的方波,作為系統(tǒng)時(shí)鐘計(jì)時(shí)信號(hào)。其實(shí)體模塊如下:</p><p>  將END TIME改為5S</p><p>  CLK采用系統(tǒng)的1KHZ的時(shí)鐘脈沖</p><p><b>  仿真波形如下: </b></p><p>  可以

27、看到能夠得到1s的時(shí)鐘脈沖</p><p>  §3.2交通燈控制及計(jì)時(shí)模塊</p><p>  控制模塊根據(jù)外部輸入信號(hào)和計(jì)時(shí)模塊產(chǎn)生的輸出信號(hào),產(chǎn)生系統(tǒng)的狀態(tài)機(jī),控制其他部分協(xié)調(diào)工作。計(jì)時(shí)模塊用來(lái)設(shè)定主干道和支干道計(jì)時(shí)器的初值,并為掃描顯示譯碼模塊提供倒計(jì)時(shí)時(shí)間。</p><p>  控制及計(jì)時(shí)模塊采用狀態(tài)機(jī)進(jìn)行設(shè)計(jì),可以定義出5種狀態(tài),分別為S0:主

28、干道綠燈,支干道紅燈且沒(méi)有車(chē)輛行駛;S1:主干道綠燈,支干道紅燈或支干道有車(chē)輛駛?cè)?;S2:主干道黃燈,支干道紅燈;S3:主干道紅燈,支干道綠燈;S4:主干道紅燈,支干道黃燈。利用CASE語(yǔ)句定義狀態(tài)的轉(zhuǎn)換方式及時(shí)間的變換方式,達(dá)到主干道綠燈亮45秒,支干道綠燈亮25秒,黃燈亮5秒的設(shè)計(jì)要求。</p><p><b>  其實(shí)體模塊如下:</b></p><p>  C

29、AR為支干道車(chē)輛檢測(cè)開(kāi)關(guān)</p><p>  在支干道有車(chē)的情況下,模塊可以進(jìn)行減計(jì)時(shí)</p><p>  CLK1S為1S的時(shí)鐘脈沖</p><p>  TIME1H、TIME1L、TIME2H、TIME2L分別為主干道時(shí)鐘高位、主干道時(shí)鐘低位、支干道時(shí)鐘高位、支干道時(shí)鐘低位</p><p>  LED為L(zhǎng)ED燈發(fā)光情況,分別為主干道綠燈、

30、主干道黃燈、主干道紅燈、支干道綠燈、主干道黃燈、主干道紅燈</p><p>  Count的總的系統(tǒng)時(shí)間,用來(lái)改變系統(tǒng)的狀態(tài)</p><p><b>  仿真波形如下:</b></p><p><b>  通過(guò)仿真可以看到:</b></p><p>  當(dāng)主干道綠燈,支干道紅燈時(shí),主干道倒計(jì)時(shí)高位置

31、數(shù)0100,低位置數(shù)0101;支干道高位置數(shù)0101,低位置數(shù)0000;</p><p>  當(dāng)主干道黃燈,支干道紅燈時(shí),主干道黃燈倒計(jì)時(shí)置數(shù)0101;支干道繼續(xù)剛才的減計(jì)數(shù);</p><p>  當(dāng)主干道紅燈,支干道綠燈時(shí),主干道倒計(jì)時(shí)高位置數(shù)0011,低位置數(shù)0000;支干道高位置數(shù)0010,低位置數(shù)0101;</p><p>  當(dāng)主干道紅燈,支干道黃燈時(shí),支

32、干道黃燈倒計(jì)時(shí)置數(shù)0101;主干道繼續(xù)剛才的減計(jì)數(shù)。</p><p>  在S4狀態(tài)結(jié)束后,自動(dòng)跳回到S0狀態(tài),繼續(xù)判斷支干道是否有車(chē)行駛,若有車(chē)行駛,則跳轉(zhuǎn)到S1狀態(tài),給高、低位置數(shù),繼續(xù)進(jìn)行減計(jì)時(shí)。</p><p>  系統(tǒng)根據(jù)COUNT的變化自動(dòng)在各狀態(tài)下跳變,當(dāng)count為45時(shí),跳變到S2狀態(tài);當(dāng)count為50時(shí),跳變到S3狀態(tài);當(dāng)count為75時(shí),跳變到S4狀態(tài);當(dāng)coun

33、t為80時(shí),若支干道沒(méi)有車(chē)跳變到S0狀態(tài),有車(chē)則跳變到S1狀態(tài)。</p><p>  §3.3掃描顯示譯碼模塊</p><p>  掃描顯示譯碼模塊可以根據(jù)控制信號(hào),驅(qū)動(dòng)交通信號(hào)燈以及倒計(jì)時(shí)數(shù)碼管的顯示,其中數(shù)碼管的顯示采用動(dòng)態(tài)掃描顯示。其實(shí)體模塊如下:</p><p>  CLK為1KHZ的系統(tǒng)時(shí)鐘脈沖</p><p>  CLK

34、1S為1S時(shí)鐘脈沖</p><p>  CAR為支干道車(chē)輛檢測(cè)開(kāi)關(guān)</p><p>  SEL為數(shù)碼管位碼掃描</p><p><b>  SEG為數(shù)碼管段碼</b></p><p>  TIME1H、TIME1L、TIME2H、TIME2L為數(shù)碼管計(jì)時(shí)的時(shí)間,由控制及計(jì)時(shí)模塊為其賦值</p><p&

35、gt;<b>  仿真波形如下:</b></p><p><b>  通過(guò)仿真可以看到:</b></p><p>  給CLK一個(gè)時(shí)鐘脈沖,數(shù)碼管的位碼隨CLK時(shí)鐘的變化而進(jìn)行掃描,由于CLK的頻率較高,人的眼睛會(huì)有短暫的視覺(jué)停留,所以會(huì)看到4個(gè)數(shù)碼管都在顯示時(shí)間。</p><p>  §3.4頂層文件的編寫(xiě)&l

36、t;/p><p>  將以上各個(gè)單元模塊仿真成功后,再進(jìn)行頂層文件的編寫(xiě)。將各個(gè)單元模塊的變量賦值給頂層文件,從而將各個(gè)單元模塊連接起來(lái),統(tǒng)一調(diào)配。得到頂層文件的實(shí)體模塊:</p><p>  CLK為1KHZ系統(tǒng)時(shí)鐘脈沖</p><p>  CAR為支干道車(chē)輛行駛情況,高電平為有車(chē)行駛,低電平為無(wú)車(chē)行駛</p><p>  LED為交通燈發(fā)光情

37、況</p><p>  SEL為數(shù)碼管位碼掃描</p><p><b>  SEG為數(shù)碼管段碼</b></p><p><b>  仿真波形如下:</b></p><p>  仿真后可以得到最終的結(jié)果:</p><p>  開(kāi)始時(shí),支干道沒(méi)有車(chē)輛行駛。主干道處于常通行狀態(tài),支

38、干道處于禁止?fàn)顟B(tài);當(dāng)支干道有車(chē)來(lái)時(shí),主干道亮綠燈,經(jīng)行45秒倒計(jì)時(shí),支干道亮紅燈,經(jīng)行50秒倒計(jì)時(shí);</p><p>  主干道45秒倒計(jì)時(shí)結(jié)束后跳變到黃燈,進(jìn)行5秒倒計(jì)時(shí),支干道繼續(xù)亮紅燈,進(jìn)行倒計(jì)時(shí);</p><p>  主干道5秒倒計(jì)時(shí)結(jié)束后跳變到紅燈,經(jīng)行30秒倒計(jì)時(shí),支干道跳變到綠燈,進(jìn)行25秒倒計(jì)時(shí);</p><p>  支干道25秒倒計(jì)時(shí)結(jié)束后跳變到黃

39、燈,進(jìn)行5秒倒計(jì)時(shí),主干道繼續(xù)亮紅燈,進(jìn)行倒計(jì)時(shí);</p><p>  支干道5秒倒計(jì)時(shí)結(jié)束后,判斷支干道是否有車(chē),若有車(chē)跳變到S1狀態(tài),沒(méi)有車(chē)跳變到S0狀態(tài)</p><p>  硬件實(shí)驗(yàn)方案及實(shí)驗(yàn)結(jié)果</p><p>  將程序進(jìn)行編譯后,就可以把管腳綁定后把程序下載到實(shí)驗(yàn)板上進(jìn)行調(diào)試了。</p><p>  §4.1硬件實(shí)驗(yàn)方案

40、</p><p><b>  管腳綁定如下所示</b></p><p><b>  注:</b></p><p>  CLK綁定時(shí)鐘1KHZ</p><p><b>  CAR綁定DK4</b></p><p>  LED[0]綁定LED6;--支干道紅

41、燈</p><p>  LED[1]綁定LED7;--支干道黃燈</p><p>  LED[2]綁定LED8;--支干道綠燈</p><p>  LED[3]綁定LED1;--主干道紅燈</p><p>  LED[4]綁定LED2;--主干道黃燈</p><p>  LED[5]綁定LED3;--主干道綠燈<

42、/p><p>  SEL[0]綁定LI0;</p><p>  SEL[1]綁定LI1;</p><p>  SEL[2]綁定LI2。</p><p>  SEG[0]綁定G8;</p><p>  SEG[1]綁定F8;</p><p>  SEG[2]綁定E8;</p><p

43、>  SEG[3]綁定D8;</p><p>  SEG[4]綁定C8;</p><p>  SEG[5]綁定B8;</p><p>  SEG[6]綁定A8;</p><p>  將管腳按上圖綁定后就可以下載到實(shí)驗(yàn)板上進(jìn)行調(diào)試了</p><p><b>  §4.2實(shí)驗(yàn)結(jié)果</b>

44、;</p><p>  將程序下載到實(shí)驗(yàn)板后,CAR置于低電平后,可以看到LED燈的顯示情況為主干道亮綠燈,支干道亮紅燈,主干道數(shù)碼管顯示45秒,支干道數(shù)碼管顯示50秒。但支干道有車(chē)時(shí),把CAR置于高電平,可以看到主、支干道的數(shù)碼管開(kāi)始倒計(jì)時(shí);主干道倒計(jì)時(shí)結(jié)束后再進(jìn)行5秒倒計(jì)時(shí),并且交通燈變?yōu)辄S燈;當(dāng)主干道倒計(jì)時(shí)結(jié)束后,主干道數(shù)碼管顯示30,支干道數(shù)碼管顯示25,主干道變?yōu)榧t燈,支干道變?yōu)榫G燈,繼續(xù)進(jìn)行倒計(jì)時(shí);支

45、干道倒計(jì)時(shí)結(jié)束后再經(jīng)行5秒倒計(jì)時(shí),交通燈變?yōu)辄S燈;支干道倒計(jì)時(shí)結(jié)束后再回到初始狀態(tài)。</p><p>  從實(shí)驗(yàn)板上可以看出硬件測(cè)試下的效果達(dá)到了設(shè)計(jì)的要求,能夠?qū)崿F(xiàn)交通信號(hào)燈控制器的基本功能。但是,由于實(shí)驗(yàn)板的系統(tǒng)時(shí)鐘不穩(wěn)定,導(dǎo)致數(shù)碼管的掃描有時(shí)會(huì)出現(xiàn)閃動(dòng)的現(xiàn)象,在更換了實(shí)驗(yàn)板后可以看出,數(shù)碼管的掃描基本穩(wěn)定,在視覺(jué)上不會(huì)出現(xiàn)閃動(dòng)的情況?;蛘呖梢詫⑾到y(tǒng)的時(shí)鐘頻率改為2KHZ,更改分頻模塊后再進(jìn)行編譯下載,這樣可

46、以加快數(shù)碼管掃描的速度,從而達(dá)到消除視覺(jué)上閃動(dòng)的現(xiàn)象。</p><p><b>  收獲和體會(huì)</b></p><p>  EDA課程設(shè)計(jì)很快就結(jié)束了,雖然在之前的學(xué)習(xí)過(guò)程中還存在著沒(méi)有弄懂的問(wèn)題,但是通過(guò)這次設(shè)計(jì),進(jìn)一步加深了對(duì)EDA的了解,讓我對(duì)它有了更加濃厚的興趣。</p><p>  在拿到題目后,首先進(jìn)行了單元模塊的設(shè)計(jì),將每一個(gè)單元

47、模塊設(shè)計(jì)完成后再經(jīng)行仿真,仿真成功后就可以進(jìn)行頂層文件的編寫(xiě)了,在頂層文件的編寫(xiě)過(guò)程中遇到了一些問(wèn)題,特別是各模塊之間的連接,以及信號(hào)的定義,總是有錯(cuò)誤。有的時(shí)候信號(hào)的定義容易出現(xiàn)混淆,在反復(fù)的修改過(guò)后,頂層文件終于能夠編譯成功了。</p><p>  在波形仿真的過(guò)程中,同樣遇到了困難,有的時(shí)候,由于END TIME的時(shí)間修改的太大,會(huì)出現(xiàn)仿真時(shí)間過(guò)長(zhǎng)的問(wèn)題,這個(gè)時(shí)候應(yīng)該要把END TIME的時(shí)間相應(yīng)的改小,或

48、是修改系統(tǒng)時(shí)鐘的頻率。</p><p>  在設(shè)計(jì)的過(guò)程中還應(yīng)該多聯(lián)系下實(shí)際情況,要了解實(shí)際情況下交通信號(hào)燈的工作情況,才能更好的完成此次的課程設(shè)計(jì)。在今后的工作和學(xué)習(xí)中,我們不能僅僅把目光停留在課本上,要多理論聯(lián)系實(shí)際。有的時(shí)候,理論上是正確的東西放到現(xiàn)實(shí)中去,可能由于種種因素的制約,并不能達(dá)到實(shí)際的效果,還需要我們進(jìn)行相應(yīng)的修改才能完成要求。這次的課程設(shè)計(jì)使我鞏固了以前學(xué)習(xí)到的知識(shí),還使我掌握了以前沒(méi)有掌握的

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論