2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩19頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p>  2014單片機課程設(shè)計</p><p><b>  單片機課程設(shè)計報告</b></p><p>  題 目 微型直流電機控制系統(tǒng)設(shè)計 </p><p>  專 業(yè) 班 級 </p><p>  學 號

2、 </p><p>  實 現(xiàn) 形 式 Proteus </p><p>  姓 名 </p><p>  分 數(shù) </p>

3、<p>  指 導 老 師 </p><p>  學 院 名 稱 電氣信息學院 </p><p><b>  目 錄</b></p><p><b>  1 緒論1</b></p><p>

4、;  1.1 課題背景1</p><p>  1.2 課題要求1</p><p><b>  2 方案論證2</b></p><p>  2.1 系統(tǒng)組成2</p><p>  2.2 單片機選型2</p><p>  2.3 驅(qū)動方案論證2</p><p> 

5、 2.4 監(jiān)測方案論證4</p><p>  2.5 人機接口方案5</p><p><b>  3 硬件設(shè)計5</b></p><p>  3.1 單片機最小系統(tǒng)設(shè)計5</p><p>  3.2 I/O分配6</p><p>  3.3 驅(qū)動電路設(shè)計7</p><

6、;p>  3.4 轉(zhuǎn)速檢測電路設(shè)計8</p><p>  3.5 人機接口電路設(shè)計9</p><p><b>  4 軟件設(shè)計10</b></p><p>  4.1 主程序流程10</p><p>  4.2 按鍵掃描子程序流程11</p><p>  5 問題與分析12<

7、;/p><p>  5.1 設(shè)計問題12</p><p>  5.2 答辯問題13</p><p><b>  參考文獻14</b></p><p>  附錄一(原理圖)15</p><p>  附錄二(程序清單)........................................

8、.......................................16</p><p>  附錄三(器件清單)...............................................................................18</p><p><b>  1 緒論</b></p><p

9、>  現(xiàn)代工業(yè)生產(chǎn)中,電動機是主要的驅(qū)動設(shè)備,目前在直流電動機拖動系統(tǒng)中已大量采用晶閘管(即可控硅)裝置向電動機供電的KZ—D拖動系統(tǒng),取代了笨重的發(fā)電動一電動機的F—D系統(tǒng),又伴隨著電子技術(shù)的高度發(fā)展,促使直流電機調(diào)速逐步從模擬化向數(shù)字化轉(zhuǎn)變,特別是單片機技術(shù)的應用,使直流電機調(diào)速技術(shù)又進入到一個新的階段,智能化、高可靠性已成為它發(fā)展的趨勢。 </p><p>  直流電機調(diào)速基本原理是比較簡單的(相對于

10、交流電機),只要改變電機的電壓就可以改變轉(zhuǎn)速了。改變電壓的方法很多,最常見的一種PWM脈寬調(diào)制,調(diào)節(jié)電機的輸入占空比就可以控制電機的平均電壓,控制轉(zhuǎn)速。</p><p><b>  1.1課題背景</b></p><p>  直流電動機具有良好的起動、制動性能,宜于在大范圍內(nèi)平滑調(diào)速,在許多需要調(diào)速或快速正反向的電力拖動領(lǐng)域中得到了廣泛的應用。從控制的角度來看,直流調(diào)

11、速還是交流拖動系統(tǒng)的基礎(chǔ)。早期直流電動機的控制均以模擬電路為基礎(chǔ),采用運算放大器、非線性集成電路以及少量的數(shù)字電路組成,控制系統(tǒng)的硬件部分非常復雜,功能單一,而且系統(tǒng)非常不靈活、調(diào)試困難,阻礙了直流電動機控制技術(shù)的發(fā)展和應用范圍的推廣。隨著單片機技術(shù)的日新月異,使得許多控制功能及算法可以采用軟件技術(shù)來完成,為直流電動機的控制提供了更大的靈活性,并使系統(tǒng)能達到更高的性能。采用單片機構(gòu)成控制系統(tǒng),可以節(jié)約人力資源和降低系統(tǒng)成本,從而有效的提

12、高工作效率。</p><p>  傳統(tǒng)的控制系統(tǒng)采用模擬元件,雖在一定程度上滿足了生產(chǎn)要求,但是因為元件容易老化和在使用中易受外界干擾影響,并且線路復雜、通用性差,控制效果受到器件性能、溫度等因素的影響,故系統(tǒng)的運行可靠性及準確性得不到保證,甚至出現(xiàn)事故。</p><p>  目前,直流電動機調(diào)速系統(tǒng)數(shù)字化已經(jīng)走向?qū)嵱没殡S著電子技術(shù)的高度發(fā)展,促使直流電機調(diào)速逐步從模擬化向數(shù)字化轉(zhuǎn)變,

13、特別是單片機技術(shù)的應用,使直流電機調(diào)速技術(shù)又進入到一個新的階段,智能化、高可靠性已成為它發(fā)展的趨勢。</p><p><b>  1.2課題要求</b></p><p>  以AT89C51單片機作為主控制器、對微型直流電機進行控制。利用霍爾元件設(shè)計轉(zhuǎn)速測量、檢測直流電機速度,并顯示。</p><p>  單片機為控制核心的直流電機PWM調(diào)速控

14、制系統(tǒng),并實現(xiàn)以下功能:</p><p><b>  直流電機的正轉(zhuǎn);</b></p><p><b>  直流電機的反轉(zhuǎn);</b></p><p><b>  直流電機的加速;</b></p><p><b>  直流電機的減速;</b></p&g

15、t;<p>  直流電機的轉(zhuǎn)速在數(shù)碼管上顯示;</p><p><b>  直流電機的啟動;</b></p><p><b>  直流電機的停止;</b></p><p><b>  2 方案論證</b></p><p><b>  2.1 系統(tǒng)組成&l

16、t;/b></p><p>  微型直流電機控制系統(tǒng)由單片機、顯示電路、直流電機及其驅(qū)動電路組成。</p><p><b>  2.2單片機選型</b></p><p>  單片機直流調(diào)速系統(tǒng)可實現(xiàn)對直流電動機的平滑調(diào)速。PWM是通過控制固定電壓的直流電源開關(guān)頻率,從而改變負載兩端的電壓,進而達到控制要求的一種電壓調(diào)整方法。在PWM驅(qū)動控

17、制的調(diào)整系統(tǒng)中,按一個固定的頻率來接通和斷開電源,并根據(jù)需要改變一個周期內(nèi)“接通”和“斷開”時間的長短。通過改變直流電機電樞上電壓的“占空比”來改變平均電壓的大小,從而控制電動機的轉(zhuǎn)速。因此,PWM又被稱為“開關(guān)驅(qū)動裝置”。本系統(tǒng)以89C51單片機為核心,通過單片機控制,C語言編程實現(xiàn)對直流電機的平滑調(diào)速。</p><p><b>  2.3驅(qū)動方案論證</b></p><

18、;p>  L298是SGS公司的產(chǎn)品,比較常見的是15腳Multiwatt封裝的L298N,內(nèi)部同樣包含4通道邏輯驅(qū)動電路。可以方便的驅(qū)動兩個直流電機,或一個兩相步進電機。L298N可接受標準TTL邏輯電平信號VSS,VSS可接4.5~7 V電壓。4腳VS接電源電壓,VS電壓范圍VIH為+2.5~46 V。輸出電流可達2.5 A,可驅(qū)動電感性負載。1腳和15腳下管的發(fā)射極分別單獨引出以便接入電流采樣電阻,形成電流傳感信號。L298

19、可驅(qū)動2個電動機,OUT1,OUT2和OUT3,OUT4之間可分別接電動機,本實驗裝置我們選用驅(qū)動一臺電動機。5,7,10,12腳接輸入控制電平,控制電機的正反轉(zhuǎn)。EnA,EnB接控制使能端,控制電機的停轉(zhuǎn)。EnA為低電平時,輸入電平對電機控制起作用,當EnA為高電平,輸入電平為一高一低,電機正或反轉(zhuǎn)。同為低電平電機停止,同為高電平電機剎停。</p><p><b>  下圖是其引腳圖:</b&g

20、t;</p><p><b>  引腳介紹:</b></p><p>  第1、15腳:可單獨引出連接電流采樣電阻器,形成電流傳感信號,也可直接接地。</p><p>  第2、3腳:A電機輸出端口。</p><p>  第4腳:接邏輯控制的+5V電源。</p><p>  第6腳:A橋使能端口。

21、</p><p>  第5、7腳:輸入標準TTL電點平對A橋的輸出OUT1、OUT2進行控制。</p><p><b>  第8腳:接電源地。</b></p><p>  第9腳:接電機驅(qū)動電源,最高可達50V。</p><p>  第11腳:B橋使能端口。</p><p>  第10、12腳:輸

22、入標準TTL電平對B橋的輸出OUT3、OUT4進行控制。</p><p>  第13、14腳:B電機輸出端口。</p><p><b>  2.4檢測方案論證</b></p><p>  采用霍爾元件測量。主要分為兩個部分。第一部分是利用霍爾器件將電機轉(zhuǎn)速轉(zhuǎn)化為脈沖信號;第二個部分是使用光耦,將傳感器輸出的信號和單片機的計數(shù)電路兩個部分隔開,減

23、少計數(shù)的干擾。</p><p>  用于測量的A44E集成霍爾開關(guān),磁鋼用直徑D=6.004mm,長度為L=3.032mm的釹鐵硼磁鋼。電源用直流,霍爾開關(guān)輸出由四位半直流數(shù)字電壓表測量,磁感應強度B用95A型集成霍爾元件測量。</p><p>  圖2-3 霍爾片管腳和管腳接線</p><p><b>  2.5人機接口方案</b></

24、p><p>  采用開關(guān),開關(guān)一端接單片機,另一端接地,一旦按下,就會向單片機輸入低電平。</p><p>  還有一種方法就是采用矩陣鍵盤。矩陣式結(jié)構(gòu)的鍵盤顯然比直接法要復雜一些,識別也要復雜一些,列線通過電阻接正電源,并將行線所接的單片機的I/O口作為輸出端,而列線所接的I/O口則作為輸入。這樣,當按鍵沒有按下時,所有的輸入端都是高電平,代表無鍵按下。行線輸出是低電平,一旦有鍵按下,則輸入

25、線就會被拉低,這樣,通過讀入輸入線的狀態(tài)就可得知是否有鍵按下了。其圖形如下:</p><p>  在本系統(tǒng)中,需要輸入的信號比較簡單,采用獨立鍵盤接線簡單,實現(xiàn)容易,所以就用了開始所說的用一個開關(guān)。</p><p><b>  3 硬件設(shè)計</b></p><p>  3.1單片機最小系統(tǒng)設(shè)計</p><p>  如圖所

26、示,單片機最小系統(tǒng),或者稱為最小應用系統(tǒng),是指用最少的元件組成的單片機可以工作的系統(tǒng).對51系列單片機來說,最小系統(tǒng)一般應該包括:單片機、晶振電路、復位電路.</p><p>  3.2 I/O分配</p><p>  STC89C51有四組接口:P0口,P0口為一個8位漏級開路雙向I/O口,每腳可吸收8TTL門電流。當P1口的管腳第一次寫1時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)

27、存儲器,它可以被定義為數(shù)據(jù)/地址的低八位。在FIASH編程時,P0 口作為原碼輸入口,當FIASH進行校驗時,P0輸出原碼,此時P0外部必須被拉高。</p><p>  P1口,P1口是一個內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗時,P1口作為低八

28、位地址接收。</p><p>  P2口,P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門電流,當P2口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進行存取時,P2口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)部上拉優(yōu)勢,當對外部八位地址數(shù)據(jù)存

29、儲器進行讀寫時,P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗時接收高八位地址信號和控制信號。</p><p>  P3口:P3口管腳是8個帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個TTL門電流。當P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。P3口也可作為AT89C51的一些特殊功能口。</p>

30、<p>  在本系統(tǒng)中,P0口輸出數(shù)碼管的段選信號;P1口作為人機交互口,接開關(guān);P2口輸出數(shù)碼管的位選信號。</p><p>  3.3 驅(qū)動電路設(shè)計</p><p>  本系統(tǒng)采用89C51控制輸出數(shù)據(jù),由單片機發(fā)生電路產(chǎn)生PWM信號,送到芯片L298,并通過L298電源驅(qū)動直流電機,并通過單片機程序控制L298,改變直流電機的占空比,進而實現(xiàn)電機的加減速,正反轉(zhuǎn)控制。其

31、驅(qū)動電路如下面部分電路所示。</p><p>  3.4 轉(zhuǎn)速檢測電路設(shè)計</p><p>  轉(zhuǎn)速檢測電路如圖所示,電機自動根據(jù)轉(zhuǎn)速輸出對應的脈沖數(shù),通過74LS386將脈沖轉(zhuǎn)化成方波,然后由單片機的T1計數(shù)器對方波進行計數(shù),最后通過一定的算法轉(zhuǎn)化成轉(zhuǎn)速并輸出。</p><p>  3.5人機接口電路設(shè)計</p><p>  人機接口部分電

32、路圖如下圖所示,從上至下共有五個開關(guān),一次是正轉(zhuǎn)、反轉(zhuǎn)、加速、減速、停止。</p><p><b>  4 軟件設(shè)計</b></p><p><b>  4.1 主程序流程</b></p><p>  主程序主程序是一個循環(huán)程序,其主要思路是,先設(shè)定好速度初始值,這個初始值與測速電路送來的值相比較得到一個誤差值,然后通過在

33、程序中占空比設(shè)置輸出控制系數(shù)給改變波形的占空比,進而控制電機的轉(zhuǎn)速。其程序流程圖如圖所示。軟件由1個主程序、1個中斷子程序和顯示子程序組成。其程序流程如下:</p><p>  4.2按鍵掃描子程序流程圖</p><p>  按鍵掃描程序采用中斷方式,按下鍵,完成延時去抖動、鍵碼識別、按鍵功能執(zhí)行。</p><p>  要實現(xiàn)按住加/減速鍵不放時恒加或恒減速直到放開

34、停止,就需在判斷是否松開該按鍵時,每進行一次增加/減少一定的占空比。按鍵掃描程序流程圖如下圖4.2所示</p><p><b>  5 問題與分析</b></p><p><b>  5.1 設(shè)計問題</b></p><p>  在課設(shè)的過程中出現(xiàn)了一些問題,或大或小,但都被我一一解決了。不如說系統(tǒng)圖的端口接線,有時候會接

35、錯,那就不能實現(xiàn)電機的轉(zhuǎn)動,這需要了解每個端口的作用。</p><p>  在程序編寫的過程中,出現(xiàn)了很多問題,包括鍵盤掃描處理、PWM信號發(fā)生電路的控制、以及單片機控制直流電機的轉(zhuǎn)動方向等問題,雖然問題不是很大,但是也讓我研究了好長時間,在解決這些問題的時候,我不斷向老師和同學請教,希望能通過大家一塊的努力把軟件編寫的更完整,讓系統(tǒng)的功能更完備。經(jīng)過多天的努力探索,大部分問題都已經(jīng)解決,就是程序還是不能實現(xiàn)應該

36、實現(xiàn)的功能,這讓我很著急。后來經(jīng)過一點一點的調(diào)試,并認真總結(jié),發(fā)現(xiàn)了問題其實在編寫中斷處理程序時出現(xiàn)了錯誤,修改后即可實現(xiàn)直流電機調(diào)速的目的。</p><p>  在仿真軟件方面選擇了Proteus ,在Proteus中畫出系統(tǒng)電路圖,當程序在Keil C中調(diào)試通過后,會生成以hex為擴展名的文件,這就是使系統(tǒng)能夠在Proteus中成功進行仿真的文件。將些文件加載到單片機仿真系統(tǒng)中,驗證是否能完成對直流電機的速度

37、調(diào)節(jié)。若不成功,則重新回到軟件調(diào)試步驟,進行軟件調(diào)試。找出錯誤所在,更正后重新運行系統(tǒng)。硬件仿真電路的設(shè)計完全按照論文設(shè)計方案進行。在仿真的過程中也遇到了很多問題,比如元件選擇、電路設(shè)計等,在元件選擇方面,有的芯片是我以前學習的時候所沒有遇到過的,所以在尋找和使用的過程中也遇到很多麻煩,但經(jīng)過自己的努力,并借鑒從互聯(lián)網(wǎng)上找到的資料,我逐漸掌握這些元件的使用方法和原理,為系統(tǒng)設(shè)計和仿真提供了良出的基礎(chǔ)。另外,在進行仿真的時候,也經(jīng)常出現(xiàn)程

38、序沒有錯誤了,但是仿真通不過的情況,這些大部分原因是在管腳定義上,很多系統(tǒng)仿真的問題都出在這。經(jīng)過這段時間的努力,使我對仿真軟件以及系統(tǒng)設(shè)計電路有了更深一步的認識,也為系統(tǒng)的成功奠定了基礎(chǔ)。</p><p><b>  5.2 答辯問題</b></p><p>  問:光電耦合器的作用是什么?</p><p>  答:光電耦合器也稱為光電隔離器

39、或光耦合器,有時簡稱光耦。這是一種以光為耦合媒介,通過光信號的傳遞來實現(xiàn)輸人與輸出間電隔離的器件,可在電路或系統(tǒng)之間傳輸電信號,同時確保這些電路或系統(tǒng)彼此間的電絕緣。</p><p>  問:在AT89C51芯片中XTAL2端口的作用是什么?</p><p>  答: XTAL1和XTAL2分別為反向放大器的輸入和輸出,而XTAL2是來自反向振蕩器的輸出。</p><p

40、><b>  參考文獻</b></p><p>  [1]張友德等,單片機原理應用與實驗[M],復旦大學出版社1992.</p><p>  [2]張毅剛,彭喜源,譚曉鈞,曲春波.MCS-51單片機應用設(shè)計[M].哈爾濱工業(yè)大學出版社2001.1.</p><p>  [3]宋慶環(huán),才衛(wèi)國,高志,89C51單片機在直流電動機調(diào)速系統(tǒng)中的應

41、用[M]。唐山學院,2008.4</p><p>  [4]陳 錕 危立輝,基于單片機的直流電機調(diào)速器控制電路[J],中南民族大學學報(自然科學版),2003.9.</p><p>  [5]李維軍 韓小剛 李 晉,基于單片機用軟件實現(xiàn)直流電機PWM調(diào)速系統(tǒng)[J],維普資訊,2007.9</p><p>  [6]曹巧媛.單片機原理及應用[M].北京,電子工業(yè)出版社

42、,1997.</p><p>  [7]劉大茂,嚴飛.單片機應用系統(tǒng)監(jiān)控主程序的設(shè)計方法[J].福州大學學報(自然科學福建農(nóng)林大學碩士論文版),1998.2.</p><p>  [9]朱定華,戴汝平編著.單片機原理與應用[M].清華大學出版社北方交通大學出版社,2003.8.</p><p>  [11]薛鈞義 張彥斌編著. MCS—51/96系列單片微型計算[M

43、].西安交通大學出版社,1997.8</p><p>  [12]陳國呈 編著.PWM逆變技術(shù)及應用[M].中國電力出版社.2007年7月</p><p>  [13]馬忠梅 等編著.單片機的C語言應用程序設(shè)計(第4版)[M],北京航天航空大學出版社.2007. 4</p><p>  [14]劉昌華,易逵編著.8051單片機的C語言應用程序設(shè)計與實踐[M].國防工

44、業(yè)出版社2007.9</p><p><b>  附錄一(原理圖)</b></p><p><b>  附錄二(程序清單)</b></p><p>  #include<reg51.h></p><p>  #define uchar unsigned char</p>&

45、lt;p>  uchar N=0;</p><p>  uchar X=50;//占空比初始值為50%</p><p><b>  int a,b;</b></p><p>  sbit RS=P3^0;</p><p>  sbit RW=P3^1;</p><p>  sbit EN=

46、P3^2;</p><p>  unsigned char code str1[]={" ZHENG ZHUAN "};</p><p>  unsigned char code str2[]={" SPEED UP "}; </p><p>  unsigned char code str3[]={"

47、 FAN ZHAUN "};</p><p>  unsigned char code str4[]={" SPEED DOWN "};</p><p>  unsigned char code str5[]={" STOP "};</p><p>  uchar data disdata[

48、5];</p><p>  void delay1ms(unsigned int ms)//延時0.1毫秒(不夠精確的)</p><p>  {unsigned int i,j;</p><p>  for(i=0;i<ms;i++)</p><p>  for(j=0;j<100;j++);</p><p&g

49、t;<b>  }</b></p><p>  void wr_com(unsigned char com)//寫指令//</p><p>  { delay1ms(0.1);</p><p><b>  RS=0;</b></p><p><b>  RW=0;</b>&l

50、t;/p><p><b>  EN=0;</b></p><p><b>  P2=com;</b></p><p>  delay1ms(0.1);</p><p><b>  EN=1;</b></p><p>  delay1ms(0.1);</

51、p><p><b>  EN=0;</b></p><p><b>  }</b></p><p>  void wr_dat(unsigned char dat)//寫數(shù)據(jù)//</p><p>  { delay1ms(0.1);</p><p><b>  RS=

52、1;</b></p><p><b>  RW=0;</b></p><p><b>  EN=0;</b></p><p><b>  P2=dat;</b></p><p>  delay1ms(0.1);</p><p><b&g

53、t;  EN=1;</b></p><p>  delay1ms(0.1);</p><p><b>  EN=0;</b></p><p><b>  }</b></p><p>  void lcd_init()//初始化設(shè)置//</p><p>  {del

54、ay1ms(15);</p><p>  wr_com(0x38);</p><p>  wr_com(0x08);</p><p>  wr_com(0x01);</p><p>  wr_com(0x06);</p><p>  wr_com(0x0c);</p><p><b>

55、  }</b></p><p>  void display(unsigned char *p)//顯示//</p><p><b>  {</b></p><p>  while(*p!='\0')</p><p><b>  {</b></p><

56、p>  wr_dat(*p);</p><p><b>  p++;</b></p><p>  delay1ms(0.1);</p><p><b>  }</b></p><p><b>  }</b></p><p>  init_play(

57、)//初始化顯示</p><p>  { lcd_init();</p><p>  wr_com(0x80);</p><p>  display(str1);</p><p>  wr_com(0xc0);</p><p>  display(str2);</p><p><b>

58、  while(1);</b></p><p><b>  }</b></p><p>  sbit PWM=P3^6;//PWM輸出腳</p><p>  sbit P1_2=P1^2;//正傳</p><p>  sbit P1_3=P1^3;//反轉(zhuǎn)</p><p>  sbi

59、t P1_4=P1^4;//加速</p><p>  sbit P1_5=P1^5;//減速</p><p>  sbit P1_6=P1^6;//停止</p><p>  sbit P1_1=P1^1;</p><p>  sbit P1_0=P1^0;</p><p>  sbit P0_0=P0^0;</p

60、><p>  sbit P0_1=P0^1;</p><p>  sbit P0_2=P0^2;</p><p>  sbit P0_3=P0^3;</p><p>  void scjs(void)interrupt 3</p><p><b>  {</b></p><p>

61、;<b>  TH1=0Xff;</b></p><p><b>  TL1=0x17;</b></p><p><b>  b++;</b></p><p><b>  }</b></p><p><b>  main()</b>&

62、lt;/p><p><b>  {</b></p><p>  TMOD=0x00;</p><p><b>  IE=0X88;</b></p><p><b>  TH1=0Xff;</b></p><p><b>  TL1=0X17;<

63、;/b></p><p><b>  TR0=1;</b></p><p><b>  TR1=1;</b></p><p><b>  a=0;</b></p><p><b>  b=0;</b></p><p><

64、b>  while(1)</b></p><p><b>  {</b></p><p><b>  PWM=1;</b></p><p><b>  while(1)</b></p><p><b>  {</b></p>

65、<p><b>  b=0;</b></p><p>  while(!b);</p><p><b>  if (N==X)</b></p><p><b>  PWM=0;</b></p><p>  if (N==100)break;</p>&

66、lt;p><b>  N++;</b></p><p>  if(P1_2==0)//M1正轉(zhuǎn)</p><p><b>  {</b></p><p><b>  P1_1=1;</b></p><p><b>  P1_0=0;</b></p

67、><p><b>  X=50;</b></p><p><b>  P0_0=0;</b></p><p><b>  P0_1=1;</b></p><p>  lcd_init();wr_com(0x80);display(str1);</p><p>

68、;<b>  }</b></p><p>  if(P1_3==0)//M1反轉(zhuǎn)</p><p><b>  {</b></p><p><b>  P1_1=0;</b></p><p><b>  P1_0=1;</b></p><

69、p><b>  X=50;</b></p><p><b>  P0_0=1;</b></p><p><b>  P0_1=0;</b></p><p>  lcd_init();wr_com(0x80);display(str3);</p><p><b>

70、  }</b></p><p>  if(P1_6==0)//M1停止</p><p><b>  {</b></p><p><b>  P1_1=1;</b></p><p><b>  P1_0=1;</b></p><p><b

71、>  P0_0=1;</b></p><p><b>  P0_1=1;</b></p><p><b>  P0_2=1;</b></p><p><b>  P0_3=1;</b></p><p>  lcd_init();wr_com(0x80);dis

72、play(str5);</p><p><b>  }</b></p><p>  if(P1_4==0)</p><p><b>  { </b></p><p><b>  P1_1=1;</b></p><p><b>  P1_0=0;

73、</b></p><p><b>  X=100;</b></p><p><b>  P0_2=0;</b></p><p><b>  P0_3=1;</b></p><p>  lcd_init();wr_com(0xc0);display(str2);<

74、;/p><p><b>  }</b></p><p>  if(P1_5==0)</p><p><b>  {</b></p><p><b>  P1_1=1;</b></p><p><b>  P1_0=0;</b></

75、p><p><b>  X=20;</b></p><p><b>  P0_2=1;</b></p><p><b>  P0_3=0;</b></p><p>  lcd_init();wr_com(0xc0);display(str4);</p><p&g

76、t;<b>  }</b></p><p><b>  }</b></p><p><b>  N=0;</b></p><p><b>  }</b></p><p><b>  }</b></p><p>

77、<b>  附錄三(器件清單)</b></p><p>  AT89C51芯片×1</p><p>  電動機 ×1</p><p>  L298芯片 ×1</p><p>  LED ×4</p><p>  LCD

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論