2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、<p><b>  課 程 設(shè) 計</b></p><p><b>  課程設(shè)計任務(wù)書</b></p><p>  題 目: 基本模型機的設(shè)計——跳轉(zhuǎn)指令的實現(xiàn)</p><p><b>  初始條件:</b></p><p>  理論:學(xué)完“電工電子學(xué)”、“數(shù)字邏輯

2、”、“匯編語言程序設(shè)計”、和“計算機組成原理”課程,掌握計算機組成原理實驗平臺的使用。</p><p>  實踐:計算機學(xué)院科學(xué)系實驗中心提供計算機、實驗的軟件、硬件平臺,在實驗中心硬件平臺驗證設(shè)計結(jié)果。</p><p>  要求完成的主要任務(wù): (包括課程設(shè)計工作量及其技術(shù)要求,以及說明書撰寫等具體要求)</p><p>  1、基本模型機系統(tǒng)分析與設(shè)計,利用所學(xué)

3、的計算機組成原理課程中的知識和提供的實驗平臺完成設(shè)計任務(wù),從而建立清晰完整的整機概念。</p><p>  2、根據(jù)課程設(shè)計題目的要求,編制實驗所需的程序,上機測試并分析所設(shè)計的程序。</p><p>  3、課程設(shè)計的書寫報告應(yīng)包括:</p><p> ?。?)課程設(shè)計的題目。</p><p> ?。?)設(shè)計的目的及設(shè)計原理。</p&

4、gt;<p>  (3)根據(jù)設(shè)計要求給出模型機的邏輯框圖。</p><p>  (4)設(shè)計指令系統(tǒng),并分析指令格式。</p><p> ?。?)設(shè)計微程序及其實現(xiàn)的方法(包括微指令格式的設(shè)計,后續(xù)微地址的產(chǎn)生方法以及微程序入口地址的形成)。</p><p>  (6)模型機當中時序的設(shè)計安排。</p><p>  (7)設(shè)計指令

5、執(zhí)行流程。</p><p>  (8)給出編制的源程序,寫出程序的指令代碼及微程序。</p><p>  (9)說明在使用軟件HKCPT的聯(lián)機方式與脫機方式的實現(xiàn)過程(包括編制程序中跳轉(zhuǎn)指令的時序分析,累加器A和有關(guān)寄存器、存儲器的數(shù)據(jù)變化以及數(shù)據(jù)流程)。</p><p>  (10)課程設(shè)計總結(jié)(設(shè)計的特點、不足、收獲與體會)。</p><p&

6、gt;  時間安排:設(shè)計時間為第18周</p><p>  周一:熟悉相關(guān)資料。 周二:系統(tǒng)分析,設(shè)計程序。</p><p>  周三、四:編程并上實驗平臺調(diào)試 周五:撰寫課程設(shè)計報告。</p><p><b>  基本模型機的設(shè)計</b></p><p><b>  ——跳轉(zhuǎn)指

7、令的實現(xiàn)</b></p><p>  1設(shè)計的目的及設(shè)計的原理</p><p><b>  1.1設(shè)計的目的</b></p><p>  1、掌握了各個單元模塊的工作原理,進一步將其組成整的系統(tǒng),構(gòu)造成1臺基本的模型計算機。</p><p>  2、根據(jù)實驗要求,規(guī)劃讀寫內(nèi)存、寄存器、數(shù)值計算等功能,并且編寫

8、相應(yīng)的微程序。用軟件HKCPT的微單步功能觀察指令運行狀態(tài),掌握微程序控制原理。</p><p>  3、利用所學(xué)的計算機組成原理課程中的知識和提供的實驗平臺完成設(shè)計任務(wù),從而建立清晰完整的整機概念,具體上機調(diào)試各個模塊單元以便進一步掌握整機的概念。</p><p><b>  1.2設(shè)計的原理</b></p><p>  在各個模型實驗中,各

9、模塊的控制信號都是由實驗者手動模擬產(chǎn)生的。而在真正的試驗系統(tǒng)中,模型機的運行是在微程序的控制下,實現(xiàn)特定指令的功能。在本實驗平臺中,模型機從內(nèi)存中取出、解釋、執(zhí)行機器指令都由微指令和與之相配合的時序來完成,既1條機器指令對應(yīng)1個微程序。</p><p><b>  2模型機的總體設(shè)計</b></p><p>  2.1基本模型機系統(tǒng)分析與設(shè)計</p>&

10、lt;p>  1、簡單的模型計算機是由算術(shù)邏輯運算單元、微程序單元、堆棧寄存器單元、累加器、啟停、時序單元、總線和存儲器單元組成。</p><p>  2、在模型機中,我們將要實現(xiàn)RAM的讀寫指令,寄存器的讀寫指令,跳轉(zhuǎn)指令,ALU的加、減指令。把通用寄存器作為累加器A們進行左、右移等操作指令,整體構(gòu)成一個單累加器多寄存器的系統(tǒng)。</p><p>  3、根據(jù)設(shè)計要求,對實驗儀硬件資

11、源進行邏輯組合,便可設(shè)計出該模型機的整機邏輯框圖。</p><p>  2.2模型機的邏輯框圖</p><p><b>  3設(shè)計指令系統(tǒng)</b></p><p>  本實驗平臺內(nèi)采用的是8位數(shù)據(jù)總線和8位地址總線,在設(shè)計指令系統(tǒng)時考</p><p>  慮有如下幾種類型的指令和尋址方式及編碼方式:</p>

12、<p><b>  3.1指令類型</b></p><p>  3.1.1算術(shù)/邏輯運算類指令</p><p>  如:加法、減法、取反、邏輯運算</p><p>  ADD A,Ri , SUB A,Ri</p><p>  3.1.2移位操作類指令</p><p>  帶進位或不帶

13、進位的移位指令</p><p>  RRC A , RLC A , RR A </p><p>  3.1.3數(shù)據(jù)傳送類指令</p><p>  CPU內(nèi)部寄存器之間數(shù)據(jù)傳遞</p><p>  MOV A , Ri</p><p>  MOV Ri , A</p><p>  3.1.4程序跳

14、轉(zhuǎn)指令</p><p>  跳轉(zhuǎn)指令分為無條件跳轉(zhuǎn)指令和有條件跳轉(zhuǎn)指令??筛鶕?jù)寄存器內(nèi)容為零來標志(ZD)、有無進位來標志(CY),也可根據(jù)用戶自定義標志。</p><p>  JMP addr 無條件跳轉(zhuǎn)</p><p>  JZ addr ZD=0 時跳轉(zhuǎn)</p><p>  JC addr

15、CY=0 時跳轉(zhuǎn)</p><p>  JN addr 自定義</p><p>  3.1.5存儲器操作類指令</p><p>  存儲器讀/寫指令。把內(nèi)存某單元內(nèi)容寫入寄存器中或把寄存器中的內(nèi)容寫入存儲器。如: LDA addr (addr)->A</p><p>  STA addr

16、 (A)->addr</p><p>  3.2操作數(shù)尋址方式及編碼</p><p>  3.2.1直接地址尋址</p><p><b>  如:雙字節(jié)指令</b></p><p>  LDA addr (addr)->A</p><p>  ST

17、A addr (A)->addr</p><p>  第1字節(jié) </p><p><b>  操作碼 </b></p><p><b>  第2字節(jié)</b></p><p>  操作數(shù)地址addr </p>

18、<p>  3.2.2寄存器直接尋址</p><p>  指令字節(jié)中含有寄存器選擇碼,決定選擇哪個寄存器進行操作。</p><p><b>  如:單字節(jié)指令</b></p><p>  MOV A , Ri (Ri)->A</p><p><b>  單字節(jié)</b

19、></p><p><b>  操作碼與Ri選擇碼</b></p><p><b>  如:雙字節(jié)指令</b></p><p>  MOV Ri ,#data data->Ri</p><p><b>  第1字節(jié)</b></p>&

20、lt;p><b>  操作碼與Ri選擇碼</b></p><p><b>  第2字節(jié)</b></p><p><b>  Data</b></p><p>  3.2.3寄存器間接尋址</p><p><b>  如:單字節(jié)指令</b></p

21、><p>  MOV A ,@Ri (Ri)->A</p><p><b>  Ri選擇碼</b></p><p><b>  操作碼</b></p><p>  3.2.4立即數(shù)尋址</p><p><b>  如:單字節(jié)指令</b>

22、</p><p>  MOV A ,#data data->A</p><p>  MOV Ri,#data data->Ri</p><p><b>  第1字節(jié)</b></p><p><b>  操作碼與Ri選擇碼</b></p>

23、<p><b>  第2字節(jié)</b></p><p><b>  Data</b></p><p>  4設(shè)計微程序及其實現(xiàn)的方法</p><p>  4.1微指令格式的設(shè)計</p><p>  在本實驗平臺的硬件設(shè)計中,采用24位微指令,若微指令采用全水平不編碼純控制場的格式,那么至

24、多可有24個微操作控制信號,可右微代碼直接實現(xiàn)。如果采用多組編碼譯碼,那么24位微代碼通過二進制譯碼可實現(xiàn)個互斥的微操作控制信號。</p><p>  由于模型機指令系統(tǒng)規(guī)模較小,功能也不太復(fù)雜,所以采用全水平不編碼純控制場的微指令格式。</p><p>  4.2后續(xù)微地址的產(chǎn)生方法</p><p>  每條指令由不超過4條的微指令組成,那么可根據(jù)下表組成每條微程

25、序的首地址。微指令的運行順序為下地址確定法,即采用計數(shù)增量方法,每條微指令執(zhí)行過后微地址自動加1,指向下下一條微指令地址。例如:確定了一條程序的微程序入口地址位07H,那么當執(zhí)行完07H這條微指令后微地址加1,指向08H微地址。微地址寄存器由2篇74LS161組成,當模型機在停機狀態(tài)下,微地址被清零。當實驗平臺開始運行時,微地址從00H開始運行。且00H放置一條取指指令,根據(jù)程序開始地址從內(nèi)存中讀出第一條指令。</p>&

26、lt;p>  4.3微程序入口地址的形成</p><p>  在模型機中,用指令操作碼的高4位作為核心擴展成8位的微程序入口地址MD0~MD7,這種方法成為“按操作碼散轉(zhuǎn)”(如下表所示)。</p><p>  5模型機當中時序的設(shè)計安排</p><p>  1、由于模型機已經(jīng)確定了指令系統(tǒng),微指令采用全水平不編碼純控制場的格式,微程序的入口地址采用操作碼散轉(zhuǎn)方

27、式,微地址采用技術(shù)增量方式,所以可確定模型機中時序單元中所產(chǎn)生的每一節(jié)拍的作用。</p><p>  2、在本實驗中,由監(jiān)控單元產(chǎn)生了一個PLS-O的信號來控制時序產(chǎn)生(如下圖所示)。PLS-O信號經(jīng)過時序單元的處理產(chǎn)生了4個脈沖信號。4個脈沖信號組成一個為周期,為不同的寄存器提供工作脈沖。它們分別實現(xiàn)的功能是:(1)PLS1:微地址寄存器的工作脈沖,用來設(shè)置微程序的首地址及微地址加1.(2)PLS2:PC計數(shù)器

28、的工作脈沖,根據(jù)微指令的控制實現(xiàn)PC計數(shù)器加1和重置PC計數(shù)器(跳轉(zhuǎn)指令)等功能。(3)PLS3:把24位微指令打入3片微指令鎖存器。(4)PLS4:把當前總線上的數(shù)據(jù)打入微指令選通的寄存器中。</p><p><b>  SIGN</b></p><p><b>  PLS1</b></p><p><b> 

29、 PLS2</b></p><p><b>  PLS3</b></p><p><b>  PLS4</b></p><p><b>  6設(shè)計指令執(zhí)行流程</b></p><p>  在每個系統(tǒng)中,一條指令從內(nèi)存取出到執(zhí)行完畢,需要若干個機器周期,任何指令周期中

30、都必須有一個機器周期作為“取指令周期”,成為公操作周期。而一條指令共需要幾個機器周期取決于指令在機器內(nèi)實現(xiàn)的復(fù)雜程度。</p><p>  對于微程序控制的計算機,在設(shè)計指令執(zhí)行流程時,要保證每條指令所含有的微操作的必要性和合理性,還要知道總線IAB,IDB,OAB,ODB僅是傳輸信息的通路,沒有寄存器信息的功能,而且必須包證總線傳輸信息時信息的唯一性。例如本次課程設(shè)計中用到的取值微指令、ADD、JMP、RRC、

31、MOVE等指令</p><p>  6.1取值微指令的執(zhí)行流程</p><p>  在模型機處于停機狀態(tài)時,模型機的微地址寄存器被清零,微指令鎖存器輸出無效。在處于停機狀態(tài)時,脈沖PLS1對微地址寄存器無效,微地址寄存器保持為0。脈沖PLS2對PC計數(shù)器無效,同時PLS2把HALT=1打入啟停單元中的運行狀態(tài)寄存器中,把模型機置為運行狀態(tài),使微程序鎖存器輸出有效。PLS3把微程序存儲器00

32、H單元中內(nèi)容打入微指令鎖存器中,并且輸出取指微指令。PLS4把從程序存儲器中讀出的數(shù)據(jù)打入指令寄存器中。</p><p>  在模型機處于運行狀態(tài)時,脈沖PLS1將微地址寄存器加1,脈沖PLS2將PC計數(shù)器加1,PLS3把微程序存儲器中的微指令打入微指令鎖存器并且輸出。PLS4把當前總線上的數(shù)據(jù)打入當前微指令所選通的寄存器。</p><p>  6.2 ADD指令的執(zhí)行流程</p&g

33、t;<p>  例如:ADD A,Ri,該指令功能為(A)+(Ri)->A,需執(zhí)行以下微操作:</p><p>  (PC)+1PC ;PC加1,為取下一條指令字節(jié)準備</p><p>  (A)DR1 ;累加器A內(nèi)容送ALU</p><p>  (Ri)DR2

34、 ;寄存器Ri內(nèi)容送ALU</p><p>  (A)+(Ri)IDBA ;使ALU執(zhí)行加法,經(jīng)IDB送入A</p><p>  CY ;據(jù)加法結(jié)果置進位標志</p><p>  (PC)IABOAB ;PC計數(shù)器內(nèi)容做訪問內(nèi)存地址</p>&l

35、t;p>  (RAM)ODBIDBIR1 ;從內(nèi)存該地址單元讀出指令寄存器IR1.</p><p>  此程序由四個微指令周期:</p><p>  PC+1為取下條字節(jié)準備,累加器A內(nèi)容送ALU的DR1鎖存器</p><p>  寄存器Ri內(nèi)容送ALU的DR2鎖存器</p><p>  ALU將計算結(jié)果送累加器A,據(jù)加法結(jié)果置進位標

36、志CY</p><p>  取指微指令,從內(nèi)存讀出指令送指令寄存器</p><p>  6.3 JMP指令的執(zhí)行流程</p><p>  例如:JMP addr,該指令功能為addr->PC,需執(zhí)行如下操作:</p><p>  (PC)+1PC ;PC加1,為取下一條指令字節(jié)準備</p&

37、gt;<p>  (RAM)ODBIDBIR2 ;取本指令第二字節(jié)IR2</p><p>  IR2LABOAB ;由IR2內(nèi)容形成轉(zhuǎn)移地址送PC</p><p> ?。≒C)IABOAB ;PC計數(shù)器內(nèi)容做訪問內(nèi)存地址</p><p>  (RAM)ODBIDBIR1 ;從內(nèi)存該地址單元讀出指令

38、寄存器IR1</p><p>  此程序由兩個微指令周期:</p><p>  PC+1從內(nèi)存中取得指令第2個字節(jié)送入IR2.</p><p>  IR2內(nèi)容形成地址轉(zhuǎn)移到PC,從內(nèi)存中讀出指令字節(jié)送指令寄存器。</p><p>  6.4 RRC指令的執(zhí)行流程</p><p>  例如:RRC A,該指令功能是將累

39、加器A中的內(nèi)容帶進位CY循環(huán)右移一位,即->CY->A7->A6->…->A1->A0->,需執(zhí)行如下微操作:</p><p> ?。≒C)+1PC ;PC加1,為取下一條指令字節(jié)準備</p><p>  (A)、CYA,CY ;控制累加器A帶CY右環(huán)移一位</p>&l

40、t;p> ?。≒C)IABOAB ;PC計數(shù)器內(nèi)容做訪問內(nèi)存地址</p><p>  (RAM)ODBIDBIR1 ;從內(nèi)存該地址單元讀出指令寄存器IR1</p><p>  此程序由兩個微指令周期:</p><p>  PC加1,為取下一條指令字節(jié)準備,控制累加器A帶CY右環(huán)移一位</p><p>  取指微指令

41、,從內(nèi)存中讀出指令送指令寄存器</p><p>  6.5 MOV指令的執(zhí)行流程</p><p>  6.5.1雙字節(jié)指令MOV A,#data</p><p>  該指令功能為data->A,需執(zhí)行如下操作:</p><p> ?。≒C)+1PC ;PC加1,為取本指令下一字節(jié)備</p

42、><p>  (RAM)ODBIDBA;取出本指令第二字節(jié)</p><p>  (PC)+1PC ;PC加1,為取下一條指令字節(jié)備</p><p>  (PC)IABOAB ;PC計數(shù)器內(nèi)容做訪問內(nèi)存地址</p><p>  (RAM)ODBIDBIR1 ;從內(nèi)存該地址單元

43、讀出指令寄存器IR1.</p><p>  此程序由兩個微指令周期:</p><p>  PC+1從內(nèi)存中取得指令第2個字節(jié)送入累加器A。</p><p>  PC+1執(zhí)行取指微指令,從內(nèi)存中讀出指令送指令寄存器</p><p>  6.5.2 雙字節(jié)指令MOV Ri,#data</p><p>  該指令功能為dat

44、a->A,需執(zhí)行如下操作:</p><p> ?。≒C)+1PC ;PC加1,為取本指令下一字節(jié)備</p><p>  (RAM)ODBIDBRi ;取出本指令第二字節(jié)</p><p> ?。≒C)+1PC ;PC加1,為取下一條指令字節(jié)備</p><p&g

45、t;  (PC)IABOAB ;PC計數(shù)器內(nèi)容做訪問內(nèi)存地址</p><p>  (RAM)ODBIDBIR1 ;從內(nèi)存該地址單元讀出指令寄存器IR1</p><p>  此程序由兩個微指令周期:</p><p>  1.PC+1從內(nèi)存中取得指令第2個字節(jié)送入寄存器Ri。</p><p>  2.PC+1執(zhí)行取指微指令

46、,從內(nèi)存中讀出指令送指令寄存器</p><p>  7源程序以及程序的指令代碼及微程序</p><p>  7.1跳轉(zhuǎn)、轉(zhuǎn)移指令實現(xiàn)的源程序</p><p><b>  MOV A,#82</b></p><p><b>  RRC A</b></p><p><b&g

47、t;  MOV A,#32</b></p><p><b>  RLC A</b></p><p><b>  MOV A,#18</b></p><p><b>  RLC A</b></p><p><b>  JZ 08</b></

48、p><p><b>  ADD A,R0</b></p><p><b>  JC 12</b></p><p><b>  JMP 0A</b></p><p>  MOV R1,#42</p><p><b>  ADD A,R1</b&

49、gt;</p><p><b>  STA 40</b></p><p><b>  HALT</b></p><p>  7.2 程序的指令序列</p><p>  00 MOV A,#82</p><p>  02 RRC A;</p><p&

50、gt;  03 MOV A,#32;</p><p>  05 RLC A;</p><p>  06 MOV A,#18;</p><p>  08 RLC A;</p><p>  09 JZ 08;</p><p>  0B ADD A,R0;</p><p>  

51、0C JC 12;</p><p>  0E JMP 0A;</p><p>  10 MOV R1,#42;</p><p>  12 ADD A,R1;</p><p>  13 STA 40;</p><p><b>  15 HALT;</b></p>

52、<p><b>  16 HALT;</b></p><p><b>  17 HALT;</b></p><p><b>  18 HALT;</b></p><p><b>  19 HALT;</b></p><p><b&g

53、t;  1A HALT;</b></p><p><b>  1B HALT;</b></p><p><b>  1C HALT;</b></p><p><b>  1D HALT;</b></p><p><b>  1E HALT;<

54、;/b></p><p><b>  1F HALT;</b></p><p><b>  7.3 微指令序列</b></p><p><b>  M23-M0</b></p><p>  00 [00],[00],[00] 取指指令</p><p

55、>  01 [00],[00],[00]</p><p>  02 [00],[00],[00] </p><p>  03 [00],[00],[00] A->Dbus->DR1</p><p>  04 [00],[00],[00] Ri->Dbus->DR2</p><p>  05 [00],[

56、00],[00] ALU->Dbus->A</p><p>  06 [00],[00],[00] 取指指令</p><p>  07 [00],[00],[00] Ri->Dbus->DR2</p><p>  08 [00],[00],[00] ALU->Dbus->A</p><p>  09

57、 [00],[00],[00] 取指指令</p><p>  0A [00],[00],[00]Ri->Dbus->IR2</p><p>  0B [00],[00],[00] [IR2]- >Abus,Dbus->A</p><p>  0C [00],[00],[00] 取指指令</p><p>

58、  0D [00],[00],[00]</p><p>  0E [00],[00],[00] </p><p>  0F [00],[00],[00] Ri->Dbus->A</p><p>  10 [00],[00],[00] 取指指令</p><p>  11 [00],[00],[00]</p>

59、<p>  12 [00],[00],[00]</p><p>  13 [00],[00],[00] A->Dbus->Ri</p><p>  14 [00],[00],[00] 取指指令</p><p>  15 [00],[00],[00]</p><p>  16 [00],[00],[00]<

60、;/p><p>  17 [00],[00],[00] Dbus->A</p><p>  18 [00],[00],[00] 取指指令</p><p>  19 [00],[00],[00] </p><p>  1A [00],[00],[00] </p><p>  1B [00],[00],[00]

61、 Dbus->Ri</p><p>  1C [00],[00],[00] 取指指令</p><p>  1D [00],[00],[00]</p><p>  1E [00],[00],[00]</p><p>  1F [00],[00],[00] Dbus->IR2</p><p>  20

62、 [00],[00],[00] [IR2]->Abus,RAM->Dbus->A</p><p>  21 [00],[00],[00] 取指指令</p><p>  22 [00],[00],[00] </p><p>  23 [00],[00],[00] Dbus->IR2</p><p>  24 [

63、00],[00],[00] [IR2]->Abus,A->Dbus->RAM</p><p>  25 [00],[00],[00] 取指指令</p><p>  26 [00],[00],[00]</p><p>  27 [00],[00],[00] A>>1</p><p>  28 [00],

64、[00],[00] 取指指令</p><p>  29 [00],[00],[00]</p><p>  2A [00],[00],[00]</p><p>  2B [00],[00],[00] A<<1</p><p>  2C [00],[00],[00] 取指指令</p><p>  2D

65、 [00],[00],[00]</p><p>  2E [00],[00],[00]</p><p>  2F [00],[00],[00] Dbus->IR2</p><p>  30 [00],[00],[00] [IR2]->Abus,Dbus->PC</p><p>  31 [00],[00],[00]

66、</p><p>  32 [00],[00],[00]</p><p>  33 [00],[00],[00] A->Dbus->DR1</p><p>  34 [00],[00],[00] RAM->Dbus->DR2</p><p>  35 [00],[00],[00] ALU->A</p

67、><p>  36 [00],[00],[00] 取指指令</p><p>  37 [00],[00],[00] A->Dbus->DR1</p><p>  38 [00],[00],[00] RAM->Dbus->DR2</p><p>  39 [00],[00],[00] ALU->A</

68、p><p>  3A [00],[00],[00] 取指指令</p><p>  3B [00],[00],[00]</p><p>  3C [00],[00],[00]</p><p>  3D [00],[00],[00]</p><p>  3E [00],[00],[00]</p><

69、;p>  3F [00],[00],[00] 停機</p><p>  使用軟件HKCPT的實現(xiàn)過程</p><p><b>  9課程設(shè)計總結(jié)</b></p><p>  這次課程設(shè)計讓我學(xué)到了更多的東西。</p><p>  應(yīng)該在做實驗以前就瀏覽實驗內(nèi)容,明確實驗流程,了解實驗要用到的專業(yè)知識,并通過查資料

70、解決實驗前發(fā)現(xiàn)的問題,做到心中有數(shù)。</p><p>  雖然之前做過一些預(yù)習(xí),但是到了實際實驗的時候又會發(fā)現(xiàn)一些意想不到的問題要做臨時的處理。</p><p>  做的時候應(yīng)該有耐心,因為機器等原因,很多時候要重新開始,要耐心做完。同時也要細心,因為微指令的步驟很多,而且數(shù)字很多,對于二進制與十六進制的轉(zhuǎn)換要細心。</p><p>  通過上機操作可以加深理解,平

71、時沒有發(fā)現(xiàn)的問題也能夠發(fā)現(xiàn)及解決。而且也能提高我們的動手能力。</p><p>  更加理解了指令執(zhí)行的流程,也理解了微程序是怎樣一步步工作的。</p><p>  不僅要完成實驗要求上的內(nèi)容,更要明白計算機的工作原理,這才是最重要的。對于實驗的每一步都應(yīng)該深入思考。</p><p>  這次設(shè)計是兩人一組的,我們要有團隊合作精神,互相幫助,共同進步。</p&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論