2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩35頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  摘 要</b></p><p>  摘要:交通信號(hào)燈是城市交通有序、安全、快速運(yùn)行的重要保障,而保障交通信號(hào)燈正常工作就成了保障交通有序、安全、快速運(yùn)行的關(guān)鍵。為此,設(shè)計(jì)交通燈控制電路,配以七段共陰極數(shù)碼管顯示時(shí)間的顯示模塊,交通燈顯示模塊,調(diào)時(shí)模塊,實(shí)現(xiàn)根據(jù)實(shí)際車流量通過設(shè)置紅、綠燈燃亮?xí)r間以及雙位數(shù)碼管顯示倒計(jì)時(shí),三種顏色燈交替點(diǎn)亮以及緊急情況下的中斷處理功

2、能。本系統(tǒng)性價(jià)比高,實(shí)用性強(qiáng),操作簡(jiǎn)單,功能全面。關(guān)鍵詞:計(jì)數(shù)器;交通燈;控制電路</p><p><b>  Abstract</b></p><p>  Traffic lights in urban traffic in an orderly, safe and an important guarantee for fast running, and the

3、protection of traffic lights to protect the normal work of the traffic has become orderly, safe, fast running key. To this end, the use of single-chip device designed as the central traffic light control circuit, with a

4、total of cathode seventh time the digital display module, the traffic light display module, transfer module, crystal oscillator circuit, reset circuit and chip set red, green </p><p><b>  目錄</b>&

5、lt;/p><p>  摘 要--------------------------------------------------------------------1</p><p>  Abstract------------------------------------------------------------------2</p><p>  第1章

6、 交通信號(hào)燈的設(shè)計(jì)目的以及要求----------------------------------4</p><p>  第2章 設(shè)計(jì)原理分析----------------------------------------------------6</p><p>  第3章 主控制器---------------------------------------------------

7、-----9</p><p>  第4章 計(jì)數(shù)器------------------------------------------------------24</p><p>  4.1 計(jì)數(shù)器的作用-------------------------------------------------24</p><p>  4.2 計(jì)數(shù)器的工作情況------

8、----------------------------------------27</p><p>  4.3控制信號(hào)燈的譯碼電路的真值表 --------------------------------------</p><p>  4.4置數(shù)電路 -----------------------------------------------------------</p

9、><p>  4.5狀態(tài)譯碼電路 -------------------------------------------------</p><p>  第5章譯碼顯示電路----------------------------------------------------31</p><p>  5.1 共陰極LED七段數(shù)碼管------------------

10、-----------------------31</p><p>  5.2 CD4511譯碼器-----------------------------------------------------31</p><p>  第6章 555振蕩器構(gòu)成的秒脈沖電路-------------------------------------33</p><p> 

11、 6.1 555 定時(shí)器的引腳 --------------------------------------------</p><p>  6.2 555定時(shí)器構(gòu)成的多諧振蕩器 -----------------------------------------</p><p>  6.3 555 定時(shí)器工作原理 -----------------------------

12、----------------</p><p>  第七章 程序設(shè)計(jì)-----------------------------------------------------------34</p><p>  第八章 組裝和調(diào)試過程---------------------------------------------------36</p><p>  

13、第九章 總結(jié)------------------------------------------------------------------</p><p>  參考文獻(xiàn)-----------------------------------------------------------------36</p><p>  附錄1 程序------------------------

14、----------------------------37</p><p>  附錄 2 交通燈信號(hào)燈控制總體框圖-------------------------------</p><p>  第一章、交通信號(hào)燈的設(shè)計(jì)目的以及要求</p><p>  十字路口的紅綠燈指揮著行人和各種車輛的安全運(yùn)行。實(shí)現(xiàn)紅綠燈的自動(dòng)指揮是城市交通自動(dòng)化的重要課題。本課題利用數(shù)

15、字路的基本知識(shí)和設(shè)計(jì)方法,設(shè)計(jì)一個(gè)簡(jiǎn)單的交通燈控制系統(tǒng)要求。</p><p>  1.掌握系統(tǒng)設(shè)計(jì)的一般步驟和方法,掌握一個(gè)大的系統(tǒng)中各子系統(tǒng)之間的相互作 用和相互制約關(guān)系;</p><p>  2.運(yùn)用數(shù)字電路理論知識(shí)自行設(shè)計(jì)并實(shí)現(xiàn)一個(gè)較為完整的小型數(shù)字系統(tǒng)。通過系統(tǒng)設(shè)計(jì)、電路安排與調(diào)試、寫設(shè)計(jì)論文等環(huán)節(jié),初步掌握工程設(shè)計(jì)的具體步驟和方法,提高分析問題和解決問題的能力,提高實(shí)際應(yīng)用水平;

16、</p><p>  3.學(xué)會(huì)用中規(guī)模器件設(shè)計(jì)一個(gè)符合要求的系統(tǒng),并熟悉常用中規(guī)模器件的用法。</p><p>  4.學(xué)會(huì)按照電路圖在面包板上合理布局使各器件在系統(tǒng)中的連線更簡(jiǎn)單,清晰;</p><p>  5.掌握連接實(shí)物圖的一般步驟和方法,學(xué)會(huì)系統(tǒng)安裝與調(diào)試的一般步驟和方法。</p><p>  6.在實(shí)踐中運(yùn)用理論知識(shí),培養(yǎng)實(shí)際動(dòng)手能

17、力;</p><p>  7.主干道的通行時(shí)間長(zhǎng)于支干道的通行時(shí)間;</p><p>  8.每次由綠燈變?yōu)榧t燈或由紅燈變?yōu)榫G燈的前5秒四個(gè)路口要亮黃燈以提示過往車輛及行人注意路燈變化,安全通行;</p><p>  9.設(shè)計(jì)正計(jì)時(shí)30s、20s計(jì)時(shí)數(shù)碼實(shí)現(xiàn)電路,要求每秒鐘改變一次數(shù)字; </p><p>  第二章、設(shè)計(jì)原理分析</p

18、><p>  1、首先了解實(shí)際交通燈的變化情況和規(guī)律。假設(shè)一個(gè)十字路口如上圖所以,為東南西北走向。初始狀態(tài)0為東西南北都紅燈亮。然后轉(zhuǎn)狀態(tài)1東西綠燈通車,南北紅燈亮。過一段時(shí)間后,轉(zhuǎn)狀態(tài)2,東西綠燈滅,黃燈閃幾下,南北還是紅燈。再轉(zhuǎn)狀態(tài)3,南北綠燈通車,東西紅燈亮。過一段時(shí)間后轉(zhuǎn)狀態(tài)4,南北綠燈滅,閃幾個(gè)黃燈,東西還是為紅燈亮,一段時(shí)間后,又循環(huán)至狀態(tài)1。</p><p>  列出交通信號(hào)燈的

19、狀態(tài)表如下:(其中,1代表燈亮,0代表燈滅)</p><p>  2、對(duì)于交通信號(hào)燈來(lái)說,應(yīng)該有東西南北共四組燈,但由于同一道上的兩組的信號(hào)燈的顯示情況是相同的,所以只要用兩組就行了,因此,采用單片機(jī)內(nèi)部的I/O口上的P1口中的6個(gè)引腳即可來(lái)控制6個(gè)信號(hào)燈。</p><p>  3、通過編寫程序,實(shí)現(xiàn)對(duì)發(fā)光二極管的控制,來(lái)模擬交通信號(hào)燈的管理。每延時(shí)一段時(shí)間,燈的顯示情況都會(huì)按交通燈的顯示

20、規(guī)律進(jìn)行狀態(tài)轉(zhuǎn)換。</p><p>  4、通過延時(shí)時(shí)間送顯,可以在原有的交通信號(hào)燈系統(tǒng)的基礎(chǔ)上,增添其倒計(jì)時(shí)間的顯示功能,實(shí)現(xiàn)其功能的擴(kuò)展。</p><p><b>  第三章、主控制器</b></p><p>  3.1 74LS90引腳排列圖與邏輯圖</p><p>  十字路口車輛運(yùn)行情況只有4種可能,實(shí)現(xiàn)這4個(gè)

21、狀態(tài)的電路,可用兩個(gè)觸發(fā)器構(gòu)成,也可用一個(gè)二-十進(jìn)制計(jì)數(shù)器或二進(jìn)制計(jì)數(shù)器構(gòu)成。我采用二-十進(jìn)制計(jì)數(shù)器74LS90實(shí)現(xiàn)。</p><p>  采用反饋歸零法構(gòu)成4進(jìn)制計(jì)數(shù)器,即可從輸出端QBQA得到所要求的4個(gè)狀態(tài)。圖4-1 74LS90管腳排列圖,邏輯圖如圖所示。為以后敘述方便,設(shè)X1=QB,X0=QA。</p><p><b>  第四章、計(jì)數(shù)器</b></p

22、><p><b>  4.1計(jì)數(shù)器的作用</b></p><p>  計(jì)數(shù)器的作用有二:一是根據(jù)主干道和支干道車輛運(yùn)行時(shí)間以及黃燈切換時(shí)間的要求,進(jìn)行30s、20s、5s 3種方式的計(jì)數(shù);二是向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),主控制器根據(jù)狀態(tài)轉(zhuǎn)換信號(hào)進(jìn)行狀態(tài)轉(zhuǎn)換。</p><p>  4.2計(jì)數(shù)器的工作情況</p><p>  計(jì)

23、數(shù)器除需要秒脈沖作時(shí)鐘信號(hào)外,還應(yīng)受主控制器的狀態(tài)控制。計(jì)數(shù)器的工作情況為:計(jì)數(shù)器在主控制器進(jìn)入狀態(tài)S0時(shí)開始60s計(jì)數(shù);30s后產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器進(jìn)入狀態(tài)S1,計(jì)數(shù)器開始5s計(jì)數(shù);5s后又產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器進(jìn)入狀態(tài)S2,計(jì)數(shù)器開始20s計(jì)數(shù);20s后也產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器進(jìn)入狀態(tài)S3,計(jì)數(shù)器又開

24、始5s計(jì)數(shù);5s后同樣產(chǎn)生歸零脈沖,并向主控制器發(fā)出狀態(tài)轉(zhuǎn)換信號(hào),使計(jì)數(shù)器歸零,主控制器回到狀態(tài)S0,開始新一輪循環(huán)。</p><p>  根據(jù)以上分析,設(shè)30s、20s、5s計(jì)數(shù)的歸零信號(hào)分別為A、B、C,則計(jì)數(shù)器的歸零信號(hào)L為:</p><p><b>  L=A+B+C</b></p><p><b>  其中:</b&g

25、t;</p><p>  A=S0 QC2= QC2 </p><p>  B=S2 QB2 QA2= QB2 QA2</p><p>  C=S1 QB1 QA1+S3 QB1QA1= X0 QB1 QA1</p><p>  考慮到主控制器的狀態(tài)轉(zhuǎn)換為下降沿觸發(fā),將L取反后送到主控制器的CP端作為主控制器的狀態(tài)轉(zhuǎn)換信號(hào)??蛇x用集成異步十進(jìn)

26、制加法記數(shù)器(74LS90)。圖為計(jì)數(shù)器。</p><p>  圖計(jì)數(shù)器(利用74LS90正計(jì)數(shù)功能)</p><p>  4.3控制信號(hào)燈的譯碼電路的真值表</p><p>  主控制器的4種狀態(tài)分別要控制主、支干道紅、黃、綠燈的亮與滅。設(shè)燈亮為1,燈滅為0,則控制信號(hào)燈的譯碼電路的真值表。</p><p>  表控制信號(hào)燈的譯碼電路的真值

27、表</p><p><b>  4.4置數(shù)電路</b></p><p>  由真值表可分別寫出各燈的邏輯表達(dá)式:</p><p>  R=S2+S3=X1X0+X1X0=X1</p><p><b>  Y=S1=X1X0</b></p><p>  G=S0=X1X0 &l

28、t;/p><p>  r=S0+S1=X1X0+X1X0=X1</p><p><b>  y=S3=X1X0</b></p><p><b>  g=S2=X1X0</b></p><p>  根據(jù)功能要求采用以下邏輯門電路構(gòu)成:</p><p>  門電路是數(shù)字邏輯電路的基本

29、組成單元,門電路按邏輯功能可分為:與門、或門、非門以及與非門、或非門、異或門、同或門、與或非門。若按電路結(jié)構(gòu)組成的不同,可分為立元件門電路、CMOS集成門電路、TTL集成門電路等。各種集成門電路通常都封裝在集成芯片內(nèi)。此次設(shè)計(jì)采用的集成電路有74LS04、74LS00、74LS20、74LS10引腳排列圖如下圖所示 這些集成電路的封裝形式均為雙列直插式。為雙列直插式集成電路的右下方通常是地線GND,左上方引腳一般是電源線VCC,其它引腳

30、的用途如圖中符號(hào)所示,每個(gè)集成電路都有自己的代號(hào),與代號(hào)對(duì)應(yīng)的名稱形象地說明了集成電路的用途。如74LS00是二輸入端四與非門,它說明這個(gè)集成電路中包含四個(gè)二輸入端的與非門。74LS04、74LS00、74LS20引腳圖如下圖所示:</p><p>  圖74LS04六非門內(nèi)部結(jié)構(gòu)引腳圖</p><p>  圖74LS00四入與非門內(nèi)部結(jié)構(gòu)引腳圖</p><p> 

31、 圖7420四輸出與非門內(nèi)部結(jié)構(gòu)引腳圖</p><p>  圖74LS10三輸出與非門內(nèi)部結(jié)構(gòu)引腳圖</p><p><b>  4.5狀態(tài)譯碼電路</b></p><p>  根據(jù)燈控函數(shù)邏輯表達(dá)式,可畫出由與門和非門組成的狀態(tài)譯碼器電路,如圖所示。將狀態(tài)控制器,狀態(tài)譯碼器以及模擬三色信號(hào)燈相連接,構(gòu)成三色信號(hào)燈邏輯控制電路,如圖所示。<

32、;/p><p><b>  圖 態(tài)譯碼電路</b></p><p>  第五章.譯碼顯示電路</p><p>  譯碼顯示電路主要是由共陰極LED七段數(shù)碼管,CD4511譯碼器組成。</p><p>  5.1共陰極LED七段數(shù)碼管</p><p>  數(shù)碼管分為共陽(yáng)極結(jié)構(gòu)和共陰極結(jié)構(gòu)。若顯示器共陽(yáng)極

33、連接,則對(duì)應(yīng)陽(yáng)極接高電平的字段發(fā)光;而顯示器共陰極連接,則接低電平的字段發(fā)光。</p><p>  此次設(shè)計(jì)采用的是共陰極連接如圖</p><p>  圖 共陰極數(shù)碼管引腳圖</p><p>  5.2 CD4511譯碼器</p><p>  圖 CD4511管腳功能排列圖</p><p>  1.以下介紹各引腳的功

34、能:</p><p><b>  其功能介紹如下: </b></p><p>  BI:4腳是消隱輸入控制端,當(dāng)BI=0 時(shí),不管其它輸入端狀態(tài)如何,七段數(shù)碼管均處于熄滅(消隱)狀態(tài),不顯示數(shù)字。</p><p>  LT:3腳是測(cè)試輸入端,當(dāng)BI=1,LT=0 時(shí),譯碼輸出全為1,不管輸入 DCBA 狀態(tài)如何,七段均發(fā)亮,顯示“8”。它主要用

35、來(lái)檢測(cè)數(shù)碼管是否損壞。 </p><p>  LE:鎖定控制端,當(dāng)LE=0時(shí),允許譯碼輸出。 LE=1時(shí)譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時(shí)的數(shù)值。</p><p>  A1、A2、A3、A4、為8421BCD碼輸入端。 </p><p>  a、b、c、d、e、f、g:為譯碼輸出端,輸出為高電平1有效。</p><p>  2

36、.數(shù)碼連接譯碼電路。</p><p>  CD4511是一種BCD碼輸入端,其中D是高電位;a、b、c、d、e、f、g是輸出端,輸出高電平有效,和共陰極半導(dǎo)體發(fā)光數(shù)碼管各發(fā)光段的陽(yáng)極引出線相互連接,下面是七段數(shù)碼顯示器管腳接法,CD4511和數(shù)碼管的管腳排列圖:</p><p>  圖 段數(shù)碼顯示器管腳接法</p><p>  圖 數(shù)碼管連接電路圖</p>

37、;<p><b>  3. 真值表</b></p><p>  共陽(yáng)極數(shù)碼管的數(shù)字顯示真值表如下表所示</p><p>  表 七段顯示譯碼電路真值表</p><p>  第六章.555振蕩器構(gòu)成的秒脈沖電路</p><p>  555定時(shí)器是種中規(guī)模集成電路,只要外部配上適當(dāng)阻容元件,就構(gòu)成脈沖產(chǎn)生和整形

38、電路。</p><p>  6.1 555定時(shí)器的引腳</p><p>  NE555引腳圖及ne555的作用介紹</p><p>  NE555 (Timer IC)大約在1971年由Signetics Corporation發(fā)布,在當(dāng)時(shí)是唯一非??焖偾疑虡I(yè)化的Timer IC,在往后的30年來(lái)非常普遍被使用,且延伸出許多的應(yīng)用電路,盡管近年來(lái)CMOS技術(shù)版本的T

39、imer IC如MOTOROLA的MC1455已被大量的使用,但原規(guī)格的NE555依然正常的在市場(chǎng)上供應(yīng),盡管新版IC在功能上有部份的改善,但其腳位勁能并沒變化,所以到目前都可直接的代用。 NE555是屬于555系列的計(jì)時(shí)IC的其中的一種型號(hào),555系列IC的接腳功能及運(yùn)用都是相容的,只是型號(hào)不同的因其價(jià)格不同其穩(wěn)定度、省電、可產(chǎn)生的振蕩頻率也不大相同;而555是一個(gè)用途很廣且相當(dāng)普遍的計(jì)時(shí)IC,只需少數(shù)的電阻和電容,便可產(chǎn)生

40、數(shù)位電路所需的各種不同頻率之脈沖信號(hào)。</p><p>  a. NE555的特點(diǎn)有:1.只需簡(jiǎn)單的電阻器、電容器,即可完成特定的振蕩延時(shí)作用。其延時(shí)范圍極廣,可由幾微秒至幾小時(shí)之久。2.它的操作電源電壓范圍極大,可與TTL,CMOS等邏輯電路配合,也就是它的輸出準(zhǔn)位及輸入觸發(fā)準(zhǔn)位,均能與這些邏輯系列的高、低態(tài)組合。3.其輸出端的供給電流大,可直接推動(dòng)多種自動(dòng)控制的負(fù)載。4.它的計(jì)時(shí)精確度高、溫度穩(wěn)定度佳

41、,且價(jià)格便宜。</p><p>  5.靜態(tài)電流 最大值 VCC = 5 V, RL = ∞ =6mA VCC =15 V, RL = ∞ =15mA</p><p>  b. NE555引腳圖功能配置說明下:</p><p>  圖1-2 NE555各腳功能-管腳圖Pin 1 (接地) -地線(或共同接地) ,通常被連接到電路共同接地。Pin 2 (觸發(fā)點(diǎn))

42、 -這個(gè)腳位是觸發(fā)NE555使其啟動(dòng)它的時(shí)間周期。觸發(fā)信號(hào)上緣電壓須大于2/3 VCC,下緣須低于1/3 VCC 。Pin 3 (輸出) -當(dāng)時(shí)間周期開始555的輸出輸出腳位,移至比電源電壓少1.7伏的高電位。周期的結(jié)束輸出回到O伏左右的低電位。于高電位時(shí)的最大輸出電流大約200 mA 。Pin 4 (重置) -一個(gè)低邏輯電位送至這個(gè)腳位時(shí)會(huì)重置定時(shí)器和使輸出回到一個(gè)低電位。它通常被接到正電源或忽略不用。Pin 5 (控制) -這

43、個(gè)接腳準(zhǔn)許由外部電壓改變觸發(fā)和閘限電壓。當(dāng)計(jì)時(shí)器經(jīng)營(yíng)在穩(wěn)定或振蕩的運(yùn)作方式下,這輸入能用來(lái)改變或調(diào)整輸出頻率。Pin 6 (重置鎖定) - Pin 6重置鎖定并使輸出呈低態(tài)。當(dāng)這個(gè)接腳的電壓從1/3 VCC電壓以下移至2/3 VCC以上時(shí)啟動(dòng)這個(gè)動(dòng)作。Pin 7 (放電) -這個(gè)接腳和主要的輸出接腳有相同的電流輸出能力,當(dāng)輸出為ON時(shí)為L(zhǎng)OW,對(duì)地為低阻抗,當(dāng)輸出為OFF時(shí)為HIGH,對(duì)地為高阻抗。Pin 8 (V </p&

44、gt;<p>  NE555時(shí)基電路封形式有兩種,一是DIP雙列直插8腳封裝,另一種是SOP-8小型(SMD)封裝形式。其他HA17555、LM555、CA555分屬不同的公司生產(chǎn)的產(chǎn)品。內(nèi)部結(jié)構(gòu)和工作原理都相同。NE555屬于CMOS工藝制造,下面我們將對(duì)其進(jìn)行介紹。      圖1是NE555的外形封裝圖,圖2是它的內(nèi)部功能原理框圖,圖3是它的內(nèi)部等效電路。NE555的內(nèi)部中心

45、電路是三極管Q15和Q17加正反饋組成的RS觸發(fā)器。輸入控制端有直接復(fù)位Reset端,通過比較器A1,復(fù)位控制端的TH、比較器A2置位控制的T。輸出端為F,另外還有集電極開路的放電管DIS。它們控制的優(yōu)先權(quán)是R、T、TH。 </p><p>  <圖3 NE555內(nèi)部等效電路></p><p>  由NE555制邏輯筆</p><p>  電路工作原理分

46、析  由555 電路組成的聲光邏輯筆由測(cè)試結(jié)果指示電路和測(cè)試探頭與邏輯控制電路組成。電路中, NE555 與R4 、R5 及C 組成一個(gè)多諧振蕩器,振蕩頻率約1kHz 。由多諧振蕩器輸出的脈沖信號(hào)通過壓電蜂鳴器HTD 發(fā)出聲響,由LED 發(fā)出閃光信號(hào),用來(lái)指示檢測(cè)結(jié)果。晶體管VT 與VD1 、VD2 及電阻分壓器R2 、R3 等組成邏輯控制電路,它與探頭相配合,將測(cè)試信號(hào)加至多諧振蕩器的控制端。如果探頭置于懸空狀態(tài),即不與任何

47、測(cè)試點(diǎn)接觸,這時(shí)因VT 的基極無(wú)偏壓而截止。NE555 的⑤腳因R2 與R3 的分壓而使其處于接近電源電壓的高電平狀態(tài)。與此同時(shí), NE555 的④腳也處于懸空狀態(tài),多諧振蕩器停止振蕩。 當(dāng)探頭與低電平測(cè)試點(diǎn)接觸時(shí),測(cè)試電路所處的狀態(tài)與探頭懸空時(shí)的狀態(tài)完全相同,多諧振蕩器不振蕩,指示電路無(wú)聲無(wú)光。當(dāng)探頭與高電平的測(cè)試點(diǎn)接觸時(shí),被測(cè)試點(diǎn)的高電平經(jīng)R1 及VD1 , VD2 加至VT 的基極, VT 導(dǎo)通。當(dāng)VT 導(dǎo)通后,由R2

48、, R3 組成的分壓電路將電源電壓分壓后加至⑤腳,使NE555 內(nèi)的分壓電路得到合適的比較電壓。與此同時(shí),探頭測(cè)得的</p><p>  6.2 555定時(shí)器構(gòu)成的多諧振蕩器</p><p>  多諧振蕩器產(chǎn)生矩形波的自激振蕩電路,由于矩形波包含和高次諧波成分,因此稱為多諧振蕩器。如圖7-3 555定時(shí)器圖7-4 波形圖采用555設(shè)計(jì)的多諧振蕩器及其工作波形,其振蕩頻率與實(shí)際的數(shù)字鐘頻率略

49、有出入,但可以通過校時(shí)裝置校時(shí)。多諧振蕩器也稱無(wú)穩(wěn)態(tài)觸發(fā)器,它沒有穩(wěn)定狀態(tài),同時(shí)毋須外加發(fā)脈沖,就能輸出一定頻率的矩形脈沖(自激振蕩)。用555實(shí)現(xiàn)多諧振需要外接電阻R1,R2和電容C,并外接+3V的直流電源。只需在+VCC端接上+3V的電源,就能在3腳產(chǎn)生周期性的方波。</p><p>  圖 本次設(shè)計(jì)的秒脈沖電路圖</p><p>  6.3 555定時(shí)器工作原理</p>

50、<p>  555定時(shí)器是一種功能強(qiáng)大的模擬數(shù)字混合集成電路,其組成電路框圖如圖22.32所示。它的功能表見表22.1。555定時(shí)器有二個(gè)比較器A1和A2,有一個(gè)RS觸發(fā)器,R和S高電平有效。三極管VT1對(duì)清零起跟隨作用,起緩沖作用。三極管VT2是放電管,將對(duì)外電路的元件提供放電通路。比較器的輸入端有一個(gè)由三個(gè)5kW電阻組成的分壓器,由此可以獲得 和 兩個(gè)分壓值,一般稱為閾值。555定時(shí)器的1腳是接地端GND,2腳是低觸

51、發(fā)端TL,3腳是輸出端OUT,4腳是清除端Rd,5腳是電壓控制端CV,6腳是高觸發(fā)端TH,7腳是放電端DIS,8腳是電源端VCC。555定時(shí)器的輸出端電流可以達(dá)到200mA,因此可以直接驅(qū)動(dòng)與這個(gè)電流數(shù)值相當(dāng)?shù)呢?fù)載,如繼電器、揚(yáng)聲器、發(fā)光二極管等。 </p><p>  當(dāng)TH高觸發(fā)端6腳加入的電平大于 ,TL低觸發(fā)端2腳的電平大于 時(shí),比較器A1輸出高電平,比較器A2輸出低電平,觸發(fā)

52、器置“0”,放電管飽和,7腳為低電平。 </p><p>  當(dāng)TH高觸發(fā)端加入的電平小于 ,TL低觸發(fā)端的電平大于 時(shí),比較器A1輸出低電平,比較器A2輸出低電平,觸發(fā)器狀態(tài)不變,仍維持前一行的電路狀態(tài),輸出低電平,放電管飽和,7腳為低電平。 </p><p>  當(dāng)TH高觸發(fā)端6腳加入的電平小于 ,TL低觸發(fā)端的電平小于 時(shí),比較器A1輸出低電平,比較器A2輸出高電平,觸發(fā)器置

53、“1”,輸出高電平,放電管截止,7腳為高電平。因7腳為集電極開路輸出,所以工作時(shí)應(yīng)有外接上拉電阻,故7腳為高電平。 </p><p>  當(dāng)從功能表的最后一行向倒數(shù)第二行變化時(shí),電路的輸出將保持最后一行的狀態(tài),即輸出為高電平,7腳高電平。只有高觸發(fā)端和低觸發(fā)端的電平變化到倒數(shù)第三行的情況時(shí),電路輸出的狀態(tài)才發(fā)生變化,即輸出為低電平,7腳為低電平。 </p><p><b>  第

54、七章、程序設(shè)計(jì)</b></p><p><b>  程序流程圖:</b></p><p><b>  主程序:</b></p><p>  第八章.組裝和調(diào)試過程</p><p>  在電路板上按整機(jī)框圖把主控制器、計(jì)數(shù)器、信號(hào)燈譯碼器、數(shù)子顯示譯碼器和秒脈沖信號(hào)發(fā)生器焊接好然后按以下步

55、驟進(jìn)行調(diào)試:</p><p>  1.秒脈沖信號(hào)發(fā)生器的調(diào)試,按照數(shù)字電子鐘的方法逐級(jí)調(diào)試振蕩電路和分頻電路,使輸出設(shè)計(jì)符合設(shè)計(jì)要求。</p><p>  2.將秒脈沖信號(hào)送入主控制器的CP端,觀察主控制器的狀態(tài)是否是按00、01、10、11、00…的規(guī)律變化。</p><p>  3.將秒脈沖信號(hào)送入計(jì)數(shù)器的CP端,接入主控制器的狀態(tài)信號(hào)X0、X1,并把主控制器的

56、狀態(tài)信號(hào)送入主控制器的CP端,觀察計(jì)說器是否按30秒、5秒、20秒、5秒、30秒…循環(huán)計(jì)數(shù)。</p><p>  4.把主控制器的狀態(tài)轉(zhuǎn)換信號(hào)X1、X0接至信號(hào)燈的譯碼電路,觀察6個(gè)發(fā)光二極管是否按設(shè)計(jì)要求發(fā)光。</p><p>  5.整機(jī)聯(lián)調(diào),使交通信號(hào)燈控制電路正常工作。 </p><p>  以上是本次設(shè)計(jì)的全過程,由以上分析知此設(shè)計(jì)所需材料有:3片74L

57、S90、2片CD4511和2個(gè)共陰數(shù)碼管、1個(gè)555定時(shí)器、3片74LS04、1片74LS20、1片74LS00、1片74LS10、14個(gè)470 ohm電阻、2個(gè)4.7k電阻、6個(gè)390 ohm電阻、1個(gè)100UF電容、1個(gè)0.01UF 電容和導(dǎo)線若干。</p><p><b>  第九章.總 結(jié)</b></p><p>  通過單片機(jī)課程設(shè)計(jì),我不僅加深了對(duì)單片機(jī)

58、理論的理解,將理論很好地應(yīng)用到實(shí)際當(dāng)中去,而且我還學(xué)會(huì)了如何去培養(yǎng)我們的創(chuàng)新精神,從而不斷地戰(zhàn)勝自己,超越自己。創(chuàng)新,是要我們學(xué)會(huì)將理論很好地聯(lián)系實(shí)際,并不斷地去開動(dòng)自己的大腦,從為人類造福的意愿出發(fā),做自己力所能及的,別人卻沒想到的事。使之不斷地戰(zhàn)勝別人,超越前人。同時(shí),更重要的是,我在這一設(shè)計(jì)過程中,學(xué)會(huì)了堅(jiān)持不懈,不輕易言棄。設(shè)計(jì)過程,也好比是我們?nèi)祟惓砷L(zhǎng)的歷程,常有一些不如意,也許這就是在對(duì)我們提出了挑戰(zhàn),勇敢過,也戰(zhàn)勝了,勝利

59、的鐘聲也就一定會(huì)為我們而敲響。這個(gè)設(shè)計(jì)過程中,我遇到過許多次失敗的考驗(yàn),就比如,自己對(duì)實(shí)際生活中的交通秩序的不了解給整個(gè)設(shè)計(jì)帶來(lái)的困擾,真想要就此罷休,然而,就在想要放棄的那一刻,我明白了,原來(lái)結(jié)果并不那么重要,我們更應(yīng)該注重的是這一整個(gè)過程。于是,我堅(jiān)持了下來(lái)。當(dāng)然最終,這個(gè)設(shè)計(jì)很成功,主要體現(xiàn)在,這一整個(gè)系統(tǒng),幾乎沒有參考任何書,程序由自己獨(dú)立完成,與用8255來(lái)制作的交通燈控制系統(tǒng)相比,程序簡(jiǎn)單易讀,結(jié)構(gòu)清楚,最重要的是成本低。在

60、設(shè)計(jì)一個(gè)系統(tǒng),除了達(dá)到所要求的性能指標(biāo)以外,成本也是很重要的一個(gè)指標(biāo), 成本的高</p><p>  參 考 文 獻(xiàn) </p><p>  1. 童詩(shī)白、華成英 《模擬電子技術(shù)基礎(chǔ)(第四版)》</p><p>  2. 閻石 《數(shù)字電子技術(shù)基礎(chǔ)(第五版)》</p><p>  《單片機(jī)原理與應(yīng)用》主編:張?chǎng)蔚?電子工業(yè)出版社&

61、lt;/p><p>  《微機(jī)原理、匯編與接口技術(shù)》(朱定華,清華大學(xué)出版社,2005)</p><p>  《微型計(jì)算機(jī)系統(tǒng)原理及應(yīng)用》(楊素行,清華大學(xué)出版社,1995.10)</p><p>  《微型計(jì)算機(jī)原理及運(yùn)用》(譚浩強(qiáng) 清華大學(xué)出版社 2006)</p><p>  (1).李珍香,劉紅梅,趙潤(rùn)林。匯編語(yǔ)言課程設(shè)計(jì)案例精編。北京:

62、中國(guó)水利水電出版社,2004.3</p><p>  (2).卜艷萍,周偉。匯編語(yǔ)言程序設(shè)計(jì)教程。北京:清華大學(xué)出版社,2007</p><p>  (3).沈美明,溫冬嬋。IBM PC匯編語(yǔ)言程序設(shè)計(jì)。北京:清華大學(xué)出版社,2001</p><p>  (4).秦蓮。匯編語(yǔ)言程序設(shè)計(jì)實(shí)訓(xùn)教程。北京:清華大學(xué)出版社,2005</p><p>

63、  (5). 王爽。匯編語(yǔ)言。北京:清華大學(xué)出版社。2008</p><p><b>  附錄1程序清單:</b></p><p><b>  ;主程序:</b></p><p><b>  ORG 0000H</b></p><p><b>  AJMP MAIN&

64、lt;/b></p><p>  ORG 0030H </p><p>  MAIN: MOV SP,#60H </p><p>  MOV SCON,#00H ;設(shè)置串行口工作方式為方式0</p><p><b>  CLR TI</b></p><p&

65、gt;<b>  CLR RI</b></p><p>  MOV A,#09H ;置初態(tài),東南西北都為紅燈亮</p><p><b>  MOV P1,A</b></p><p>  MOV R2,#4 </p><p>  LCALL DEL

66、AY ; 調(diào)用4次1秒的延時(shí)子程序 </p><p>  H1:MOV A,#0CH ;東西綠燈亮,南北紅燈亮</p><p><b>  MOV P1,A</b></p><p>  MOV R2,#10</p><p>  LCALL DELAY

67、 ;調(diào)用10次1秒的延時(shí)子程序</p><p>  MOV R7,#03H ;黃燈閃爍次數(shù)為3次</p><p>  H2:MOV A,#0AH ;東西黃燈閃,南北紅燈亮</p><p><b>  MOV P1,A</b></p><p><b>

68、;  MOV R2,#1</b></p><p>  LCALL DELAY ;每1秒閃爍一次</p><p>  MOV A,#18H ;南北紅燈亮,南北黃燈也閃</p><p><b>  MOV P1,A</b></p><p><b>

69、  MOV R2,#1</b></p><p>  LCALL DELAY ;調(diào)用1秒的延時(shí)子程序</p><p>  DJNZ R7,H2 ;判斷閃爍次數(shù)是否達(dá)到</p><p>  MOV A,#21H ;東西紅燈亮,南北綠燈亮</p><p&g

70、t;<b>  MOV P1,A</b></p><p>  MOV R2,#10 ;</p><p>  LCALL DELAY ;延時(shí)10秒</p><p>  MOV R7,#03H</p><p>  H3:MOV A,#11H

71、 ;東西紅燈亮,南北黃燈閃</p><p><b>  MOV P1,A</b></p><p><b>  MOV R2,#1</b></p><p>  LCALL DELAY</p><p>  MOV A,#03H ;東西紅燈亮,東西黃燈閃</p&

72、gt;<p><b>  MOV P1,A</b></p><p><b>  MOV R2,#1</b></p><p>  LCALL DELAY</p><p>  DJNZ R7,H3 ;判斷黃燈閃的次數(shù)是否達(dá)到</p><p>  LJMP

73、H1 ;循環(huán)</p><p>  ;1秒的延時(shí)子程序:</p><p>  DELAY: MOV R3,#20 ;定時(shí)1秒</p><p>  MOV TMOD,#10H ;T1定時(shí)器,方式1</p><p>  MOV TH1,#3CH</p><p>  MOV

74、TL1,#0B0H</p><p><b>  SETB TR1</b></p><p>  LOOP: JBC TF1,NEXT</p><p><b>  SJMP LOOP</b></p><p>  NEXT: MOV TL1,#080H</p><p>  MO

75、V TH1,#3CH</p><p>  DJNZ R3,LOOP </p><p><b>  ;數(shù)據(jù)傳送:</b></p><p>  DEC R2 ;R2自動(dòng)減1</p><p>  MOV DPTR,#CDATA ;置表初地址</p>

76、<p>  MOV A,R2 ;屏蔽R2的高位</p><p><b>  SWAP A</b></p><p>  ANL A,#0FH ;</p><p>  MOVC A,@A+DPTR ;讀表格數(shù)據(jù)</p><p>

77、;  CPL A ;取反</p><p>  MOV SBUF ,A ;串行發(fā)送數(shù)據(jù)</p><p>  AA1: JBC TI,A1 ;</p><p><b>  SJMP AA1</b></p><p>

78、  A1: MOV A,R2</p><p>  ANL A,#0FH</p><p>  MOVC A ,@A+DPTR ;</p><p><b>  CPL A</b></p><p>  MOV SBUF ,A</p><p>  AAB1: JBC TI,AB1

79、 ;</p><p><b>  SJMP AAB1</b></p><p>  AB1: CJNE R2,#00H,DELAY ;當(dāng)R2為0時(shí)返回,不為0時(shí),則跳轉(zhuǎn) </p><p><b>  RET </b></p><p>  CDATA

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論