2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩9頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、摘要我們提出了一個架構(gòu)用來實現(xiàn)射頻正交振蕩器的設(shè)計,在此振蕩器中由鎖相環(huán)(PLL)所產(chǎn)生的頻率加上(或者減去)由直接數(shù)字頻率合成系統(tǒng)(DDS系統(tǒng))所產(chǎn)生的頻率,這個DDS系統(tǒng)很容易重構(gòu)改變信道間隔和帶寬,并且允許幾個數(shù)字調(diào)制方案的實施。根據(jù)用戶提供的規(guī)格,計算機程序會計算DDS系統(tǒng)的參數(shù),并且生成數(shù)字系統(tǒng)中所用的VHDL代碼,DDS的設(shè)計是為了得到最小ROM空間的正交輸出。此DDS是通過FPGA實現(xiàn),并在整個頻帶中具有優(yōu)良的正交關(guān)系的系

2、統(tǒng)。2圖1PLL結(jié)構(gòu)框圖鎖相環(huán)可以合成穩(wěn)定的高頻信號,但是頻率調(diào)諧僅限于少數(shù)的離散頻率,當每次頻率變化后,PLL需要10個順序的時鐘周期來穩(wěn)定。在DDS系統(tǒng)中,參考頻率是控制數(shù)字模塊的時鐘信號,大部分普遍的DDS系統(tǒng)如圖2所示,正弦波形值被存儲在只讀存儲器中它的地址由圓形累加器決定,并且累加器的步長定義了頻率。圖2DDS結(jié)構(gòu)框圖只讀存儲器的輸出經(jīng)過DA轉(zhuǎn)換器和低通濾波器后被轉(zhuǎn)換到模擬域,并且此DDS系統(tǒng)很容易被重構(gòu),它允許輸出頻率的快速

3、改變,但是輸出頻率卻被限制在幾十MHZ的范圍內(nèi)。還有其他的技術(shù)可以實現(xiàn)DDS,我們使用基于DDS的ROM,因為它實現(xiàn)簡單,只要是通過合適的技術(shù)就可以使ROM空間最小化。PLL和DDS的組合很好的繼承了這兩個系統(tǒng)的特點,所需頻率可以通過單邊帶兩個頻率的合成來實現(xiàn),高頻通過PLL獲得,DDS用來產(chǎn)生微小的頻率改變。PLL和DDS的組合已經(jīng)被呈現(xiàn)在[78]中,但是[7]中的結(jié)構(gòu)非常的不同,[8]中應(yīng)用了兩個DDS模塊,并且可以實現(xiàn)單一的(非正

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論